KR20220079806A - 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들 - Google Patents

3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들 Download PDF

Info

Publication number
KR20220079806A
KR20220079806A KR1020220068022A KR20220068022A KR20220079806A KR 20220079806 A KR20220079806 A KR 20220079806A KR 1020220068022 A KR1020220068022 A KR 1020220068022A KR 20220068022 A KR20220068022 A KR 20220068022A KR 20220079806 A KR20220079806 A KR 20220079806A
Authority
KR
South Korea
Prior art keywords
dopant
film
substrate
plasma
silicon
Prior art date
Application number
KR1020220068022A
Other languages
English (en)
Other versions
KR102648013B1 (ko
Inventor
레자 아르가바니
사만다 탄
브하드리 엔. 바라다라잔
애드리언 라보이
아난다 바네르지
준 퀴안
샹카르 스와미나탄
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220079806A publication Critical patent/KR20220079806A/ko
Application granted granted Critical
Publication of KR102648013B1 publication Critical patent/KR102648013B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2225Diffusion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실리콘 및 산소를 포함하는 막을 기판 상에 증착하는 방법은 (a) 챔버 내에 기판을 제공하는 단계; (b) 아미노 실란을 챔버 내로 도입하는 단계; (c) 퍼지 가스를 사용하여 챔버를 퍼지하는 단계; (d) 산화제를 챔버 내로 도입하는 단계; 및 (e) 퍼지 가스를 사용하여 챔버를 퍼지하는 단계를 포함하고, 단계 (b) 내지 단계 (e) 는 목표된 두께의 막이 증착될 때까지 반복된다.

Description

3차원 IC 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ALD 막들{capped ALD films for doping fin-shaped channel regions of 3-D IC transistors}
전통적으로, 집적 회로 (IC) 트랜지스터들은 트랜지스터의 컴포넌트들-소스, 드레인 및 채널-이 반도체 기판의 표면에 형성되고 게이트 컴포넌트가 기판의 표면의 채널 영역 상단의 편평한 구조물로서 형성되는, 평면형 설계를 채용하였다. 그러나, 보다 최근에는, 점점 더 작은 디바이스 크기들에 대한 요구로 인해서 이른바 3차원 트랜지스터들의 개발이 촉진되고 있는데, 이 3 차원 트랜지스터들에서는 소스, 드레인 및 채널이 대체적으로 높은 종횡비를 갖는, 기판 표면으로부터 수직으로 연장하는 핀-형상 구조물로 형성된다. 채널이 이러한 수직 핀 구조물 내에 형성되므로, 3 차원 트랜지스터의 게이트 컴포넌트는 채널 영역을 두르도록 형성되며, 이로써 게이트 전압에 직접적으로 노출된 그의 체적에 대한 채널 영역의 표면적을 실질적으로 증가시킨다.
평면형 트랜지스터와 3 차원 트랜지스터 간의 구조적 차이가 도 1a 및 도 1b에서 개략적으로 예시된다. 도 1a는 통상적인 평면형 IC 트랜지스터 (100) 를 개략적으로 예시한다. 이 도면의 좌측에서는 실리콘 기판 (110) 내에 형성된 소스 (120), 채널 (130), 및 드레인 (140) 을 도시하고 게이트 (150) 가 게이트 유전체 (149) 에 의해서 분리된 채널 (130) 상단에 배치된 측면도가 있다. 이 도면의 우측에는 수직 점선 관점으로부터 취해진 (수평 화살표로 표시된 바와 같은) 동일한 트랜지스터 (100) 의 단면도가 있다. 양 도면들로부터, 게이트 (150) 는 오직 (게이트 유전체 (149) 에 의해서 분리된) 채널 (130) 의 일 측에 인접하여서 위치한다. 도 1b는 평면형 트랜지스터 (100) 에 대하여 도 1a에서 도시된 바와 유사한 측면도 (좌측) 및 단면도 (우측) 를 갖는 최신의 3 차원 트랜지스터 설계 (101) 의 간략화된 예시를 제공한다. 측면도로부터, 소스 (121), 채널 (131), 및 드레인 (141) 이 (평면형 트랜지스터 (100) 와는 달리) 실리콘 기판 (110) 의 플레인 (plane) 으로부터 수직으로 연장한다는 것을 볼 수 있다. 그러나, 도 1b의 단면도 (우측) 는 3 차원 트랜지스터 (101) 의 게이트 (151) 가 (평면형 트랜지스터 (100) 내의 게이트 (150) 의 배열과는 대조적으로) 3 개의 측면들로부터 채널 영역 (131) 을 두를 수 있다는 것을 나타낸다. 이렇게 수직 핀 구조물을 게이트가 두르는 것이 도 1c에서 더 예시되며 (도 1c는 소스 (121), 드레인 (141), 및 게이트 (151) 를 갖는 3-D 트랜지스터 (101) 를 도시하되, 채널 (131) 이 게이트에 의해서 가려져 있다); 도 1d는 평행한 수직 핀 구조물들로 형성된 다수의 3-D 트랜지스터들 (101) 이 어떻게 3-D 게이트 컴포넌트 (151) 에 의해서 둘러지는지를 예시한다. 이렇게 평면형 설계에서 3 차원 설계로의 트랜지스터 아키텍처의 근본적인 이동은 IC 제조 시에 과제를 낳으며 이러한 과제를 최적으로 다루기 위해서 새로운 제조 기법들이 개발되어야 한다.
반도체 기판 상의 부분적으로 제조된 3차원 트랜지스터의 핀-형상 (fin-shaped) 채널 영역을 도핑하는 방법들이 본 명세서에서 개시된다. 일부 실시예들에서, 이 방법들은 반도체 기판 상에 도펀트-함유 막을 형성하는 단계, 도펀트-함유 막이 반도체 기판과 캡핑 막 간에 위치하도록 위치하는 캡핑 막을 형성하는 단계; 및 도펀트를 도펀트-함유 막으로부터 핀-형상 채널 영역 내로 이동시키는 단계를 포함한다. 특정한 이러한 실시예들에서, 캡핑 막은 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 (silicon carbonitride) 재료, 또는 이들의 조합을 포함한다. 특정한 이러한 실시예들에서, 도펀트-함유 막 중의 다수의 도펀트-함유 층들이 원자 층 증착 프로세스에 의해서 형성되며, 원자 층 증착 프로세스는, 도펀트-함유 막 프리커서가 반도체 기판 상에 흡착-제한된 층 (adsorption-limited layer) 을 형성하도록 도펀트-함유 막 프리커서를 반도체 기판 상으로 흡착시키는 단계; 적어도 일부 비흡착된 도펀트-함유 막 프리커서를 흡착된 도펀트-함유 막 프리커서 주변의 볼륨 (volume) 으로부터 제거하는 단계; 반도체 기판 상에 도펀트-함유 층을 형성하도록 흡착된 도펀트-함유 막 프리커서를 반응시키는 단계; 탈착된 도펀트-함유 막 프리커서 및/또는 반응 부산물들이 흡착된 도펀트-함유 막 프리커서를 반응시킨 후에 존재하는 경우에, 탈착된 도펀트-함유 막 프리커서 및/또는 반응 부산물들을 도펀트-함유 층 주변의 볼륨으로부터 제거하는 단계; 및 도펀트-함유 막 중의 다수의 도펀트-함유 층들을 형성하도록 이러한 프로세스 시퀀스를 반복하는 단계를 포함한다.
반도체 기판 상의 부분적으로 제조된 3차원 트랜지스터의 핀-형상 (fin-shaped) 채널 영역을 도핑하기 위한 도펀트-함유 막이 또한 본 명세서에서 개시된다. 일부 실시예들에서, 이 막은 제 1 도펀트-풍부 부분, 제 2 도펀트-풍부 부분, 제 1의 실질적으로 무도펀트인 부분, 제 2의 실질적으로 무도펀트인 부분 및 캡핑 막을 포함하며, 캡핑 막은 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 (silicon carbonitride) 재료, 또는 이들의 조합을 포함한다. 특정한 이러한 실시예들에서, 도펀트-함유 막 중의 제 1 도펀트-풍부 부분은 실질적으로 무도펀트인 층의 증착을 개입시키지 않고서 다수의 도펀트-함유 층들을 순차적으로 컨포멀하게 (conformally) 증착함으로써 형성되며, 도펀트-함유 막 중의 제 2 도펀트-풍부 부분이 실질적으로 무도펀트인 층의 증착을 개입시키지 않고서 다수의 도펀트-함유 층들을 순차적으로 컨포멀하게 증착함으로써 형성된다. 마찬가지로, 특정한 이러한 실시예들에서, 도펀트-함유 막 중의 제 1의 실질적으로 무도펀트인 부분이 도펀트-함유 층의 증착을 개입시키지 않고서 다수의 실질적으로 무도펀트인 층들을 순차적으로 컨포멀하게 증착함으로써 형성되며, 도펀트-함유 막 중의 제 2의 실질적으로 무도펀트인 부분이, 도펀트-함유 층의 증착을 개입시키지 않고서 다수의 실질적으로 무도펀트인 층들을 순차적으로 컨포멀하게 증착함으로써 형성된다. 특정한 이러한 실시예들에서, 이 막들의 이러한 부분들은, 제 1의 실질적으로 무도펀트인 부분은 제 1 도펀트-풍부 부분과 제 2 도펀트-풍부 부분 간에 위치되며, 제 2 도펀트-풍부 부분은 제 1의 실질적으로 무도펀트인 부분과 제 2의 실질적으로 무도펀트인 부분 간에 위치되게 위치하며, 제 1 도펀트-풍부 부분, 제 2 도펀트-풍부 부분, 제 1의 실질적으로 무도펀트인 부분 및 제 2의 실질적으로 무도펀트인 부분이 반도체 기판과 캡핑 막 간에 있도록 캡핑 막이 위치된다.
다수의 프로세스 스테이션들에서 다수의 반도체 기판들의 표면들 상의 부분적으로 제조된 3차원 트랜지스터들의 핀-형상 (fin-shaped) 채널 영역들을 도핑하기 위한 다중-스테이션 기판 프로세싱 장치가 또한 본 명세서에서 개시된다. 일부 실시예들에서, 이 장치는 하나 이상의 프로세싱 챔버들 내에 수용된 복수의 프로세스 스테이션들로서, 프로세스 스테이션들 각각은 기판 홀더를 갖는, 복수의 프로세스 스테이션들; 도펀트-함유 막 프리커서의 프로세스 스테이션들로의 흐름을 제어하기 위한 하나 이상의 밸브들; 하나 이상의 프로세싱 챔버들 내에 수용된 프로세스 스테이션들 주변의 볼륨들로부터 도펀트-함유 막 프리커서를 제거하기 위한 하나 이상의 밸브-작동된 진공 소스들; 및 반도체 기판들의 표면들 상의 핀-형상 채널 영역들을 도핑하도록 하나 이상의 밸브들 및 하나 이상의 진공 소스들을 동작시키기 위한 머신-판독가능한 인스트럭션들을 갖고/갖거나 이에 액세스할 수 있는 하나 이상의 제어기들을 포함한다. 일부 실시예들에서, 프로세싱 챔버 내에 수용된 프로세스 스테이션에서 반도체 기판 상에 도펀트-함유 막을 형성하기 위한 인스트럭션들, 형성된 도펀트-함유 막이 반도체 기판과 캡핑 막 간에 위치하도록 위치하는 캡핑 막을 형성하기 위한 인스트럭션들; 및 도펀트를 도펀트-함유 막으로부터 핀-형상 채널 영역 내로 이동시키기 위한 인스트럭션들이 포함된다. 특정한 이러한 실시예들에서, 캡핑 막은 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 (silicon carbonitride) 재료, 또는 이들의 조합을 포함한다. 일부 실시예들에서, 도펀트-함유 막 중의 다수의 도펀트-함유 층들이 인스트럭션들에 따라서 원자 층 증착 프로세스에 의해서 형성되며, 원자 층 증착 프로세스는, 반도체 기판을 홀딩하는 기판 홀더를 갖는 프로세스 스테이션을 수용한 프로세싱 챔버 내로 도펀트-함유 막 프리커서를 도입하고, 도펀트-함유 막 프리커서가 반도체 기판 상에 흡착-제한된 층 (adsorption-limited layer) 을 형성하도록 도펀트-함유 막 프리커서가 반도체 기판 상으로 흡착되게 하는 단계; 비흡착된 도펀트-함유 막 프리커서를 흡착된 도펀트-함유 막 프리커서 주변의 볼륨 (volume) 으로부터 제거하는 단계; 반도체 기판 상에 도펀트-함유 층을 형성하도록 흡착된 도펀트-함유 막 프리커서를 반응시키는 단계; 탈착된 도펀트-함유 막 프리커서 및/또는 반응 부산물들이 흡착된 도펀트-함유 막 프리커서를 반응시킨 후에 존재하는 경우에, 탈착된 도펀트-함유 막 프리커서 및/또는 반응 부산물들을 도펀트-함유 층 주변의 볼륨으로부터 제거하는 단계; 및 도펀트-함유 막 중의 다수의 도펀트-함유 층들을 형성하도록 이러한 프로세스 시퀀스를 반복하는 단계를 포함한다.
도 1a는 통상적인 평면형 IC 트랜지스터의 개략적 측면도를 예시한다.
도 1b는 통상적인 평면형 IC 트랜지스터의 개략적 단면도를 예시한다.
도 1c는 핀-형상 채널 영역을 채용한 최신의 3중-게이트 IC 트랜지스터의 개략적 사시도를 예시한다.
도 1d는 핀-형상 채널 영역을 채용한 최신의 3중-게이트 IC 트랜지스터의 다른 개략적 사시도를 예시한다.
도 2a는 통상적인 이온-주입 기법들을 통해서 고 종횡비 핀 형상 구조물들의 채널 영역을 도핑하려고 할 때에 발생할 수 있는 새도우 효과 (shadowing effect) 를 개략적으로 예시한다. 이 도면은 인접하는 핀 구조물의 새도우 효과가 증착된 게이트 전극 재료의 존재로 인해서 증가되는 상황을 예시한다.
도 2b는 또한 통상적인 이온-주입 기법들을 통해서 고 종횡비 핀 형상 구조물들의 채널 영역을 도핑하려고 할 때에 발생할 수 있는 새도우 효과 (shadowing effect) 를 개략적으로 예시한다. 이 도면은 인접하는 핀 구조물의 새도우 효과가 패턴 마스크 층의 존재로 인해서 증가되는 상황을 예시한다.
도 2c는 통상적인 이온-주입 기법들을 통해서 고 종횡비 핀 형상 구조물들의 채널 영역을 도핑하려고 할 때에 발생할 수 있는 새도우 효과 (shadowing effect) 를 개략적으로 예시한다. 이 도면은 인접하는 핀 구조물의 새도우 효과가 증착된 게이트 전극 재료의 존재로 인해서 그리고 패턴 마스크 층의 존재로 인해서 증가되는 상황을 예시한다.
도 3a는 캡핑 막을 갖는 도펀트-함유 막을 개략적으로 예시한다.
도 3b는 채널 영역을 도핑하기 위해서 핀 형상의 채널 영역 위에 배치된 도 3a의 도펀트 함유 막을 개략적으로 예시한다.
도 4a 및 도 4b는 도 4c에 도시된 SIMS 실험들을 통해서 SiO2 층들을 통한 붕소 도펀트 확산을 시현하는데 사용된 실리콘 이산화물 (SiO2) 층들 간에 샌드위치된 도펀트-함유 BSG (borosilicate glass) 막들의 개략도이다.
도 4c는 도 4a 및 도 4b에서 개략적으로 예시된 SiO2 막들을 통한 붕소 도펀트의 확산을 시현하는 SIMS (secondary ion mass spectroscopy) 실험들의 결과들을 예시한다.
도 5는 도 4c에서 보여진 SiO2 을 통해서 나타난 도펀트 확산에 대하여, BSG 막을 샌드위치하는 실리콘 카바이드 (SiC) 층들을 통한 붕소 도펀트의 감소된 확산을 나타내는 SIMS 실험들의 결과들을 예시한다.
도 6a는 도펀트 함유 막, 및 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 재료 또는 이들의 조합을 갖는 캡핑 막을 사용하여서 반도체 기판 상에 부분적으로 제조된 3 차원 트랜지스터의 핀 형상 채널 영역을 도핑하는 방법을 개략적으로 예시하는 흐름도를 제공한다.
도 6b는 도펀트 함유 막을 증착하기 위한 원자 층 증착 (ALD) 프로세스 시퀀스의 흐름도를 제공한다.
도 7은 도 3a에서 예시된 것과 유사한 도펀트 함유 막을 개략적으로 예시하지만, 도 7에서는 도펀트가 풍부한 부분들이 실질적으로 도펀트가 없는 부분들 간에 분산되어 있는 막 구조물을 나타낸다.
도 8은 본 명세서에서 개시된 방법들에서 채용된 것들과 같은 막 형성 ALD 동작들을 수행하기에 적합한 기판 프로세싱 스테이션을 개략적으로 예시한다.
도 9는 본 명세서에서 개시된 방법들에서 채용된 것들과 같은 막 형성 ALD 동작들을 수행하기에 적합한 다중-스테이션 기판 프로세싱 툴을 개략적으로 예시한다.
이하의 기술에서, 제시된 실시예들의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 개시된 실시예들은 이들 구체적인 상세들 일부 또는 전부가 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들과 함께 기술되지만, 이는 개시된 실시예들로 제한하도록 의도되지 않는다는 것이 이해될 것이다.
IC 트랜지스터 내의 소스와 드레인 간의 반도전성 영역은 채널 또는 트랜지스터의 채널 영역으로서 지칭된다. 이 채널 영역으로 게이트에 의해서 인가된 전위는 그의 분극화 및 도전성에 영향을 주며, 이로써 트랜지스터를 온 상태에서 오프 상태로 그리고 오프 상태에서 온 상태로 효과적으로 스위칭할 수 있다. 따라서, 채널 도전성 및 게이트를 통해서 채널을 일관되게 조절할 수 있는 능력은 IC 트랜지스터 설계 및 제조의 핵심 측면들이다. 통상적인 평면형 IC 트랜지스터들의 제조 시에, 이온 주입 기법들이 일반적으로 사용되어서 채널 영역을 도핑하고 채널 영역의 도전성을 목표된 레벨로 조절한다. 그러나, 고 종횡비 핀 형상 구조물 (일부 경우들에서는 약 32 나노미터보다 작은 또는 약 22 나노미터보다 작은 또는 약 12 나노미터보다 작은 폭을 가짐) 에 형성된 채널 영역을 갖는 3-D 트랜지스터들로 이동함에 따라서, 이온 주입 기법들은 균일하고 제어되는 도핑을 제공하기에 효과적이지 않다고 입증되었다.
이러한 이온 주입 기법의 어려움이 도 2a 및 도 2b에서 개략적으로 예시된다. 도 2a는 (도 1d에 도시된 것과 유사한) 평행하게 배열된 4 개의 3-D 트랜지스터들의 4 개의 핀 형상 채널 영역들 (231, 232, 233, 234) 의 단면도 (도 1b에 도시된 것과 유사함) 를 제공한다. 채널들 중 2 개 (233, 234) 는 이들에 도포된 게이트 재료 (251) 를 갖는다. 채널들 (231 및 232) 의 베이스 (base) 에 도달-인접하는 수직 구조물을 제거함-하여서 각 채널의 측면을 균일하게 도핑하는데 사용될 수 있는 이온-플럭스의 가능한 입사 각도들이 이 도면에서 개략적으로 도시된다. 이 도면에서 '각 1'은 입사 각의 오직 작은 범위만이 인접하는 채널 (232) 의 새도우 효과로 인해서 채널 (231) 의 베이스에 도달할 것임을 나타낸다. 채널들의 측면들을 도핑하는 것은 자명하게는 (단지 상단만을 공격하지 않도록) 이온 플럭스가 일부 수평 성분을 갖는 것을 요구하지만, 이 도면은 이 수평 성분이 너무 크면 채널의 측면이 서로 상이하게 이온 공격을 받을 것 (하부 부분들에서보다 많은 이온들이 상부 부분들에 도달함) 을 예시한다. 따라서, 채널 (231) 에 있어서, 균일한 이온 공격은 입사 이온 플럭스 각도들이 제로 내지 각 1 간의 범위로 제한되어야 하는 것을 요구한다. 그러나, 실제로는, 핀 형상 채널들은 고 종횡비를 가지며 근접하게 이격되기 때문에, 각 1은 예를 들어서 이온들을 생성하는 플라즈마 내의 전자계 변동, 콜리메이션된 이온 플럭스 내에서의 이온 간 충돌들 등으로 인해서 일관성을 달성하는데 있어서는 용이하지 않은 상대적으로 좁은 범위의 이온 플럭스들을 나타낸다. 도 2a에 도시된 각 2은 채널 (232) 의 경우에는 인접하는 채널 (233) 위에 있는 게이트 재료 (251) 의 존재로 인해서 심화되는 것을 나타낸다. 도 2b는 이러한 문제가 인접하는 채널 (233) 이 레지스트 재료 층 (255) 으로 마스킹되는 경우에 채널 (232) 에 대해서도 유사하게 심화되는 것을 예시한다. 또한, 도 2c는 이러한 문제가 인접하는 채널 (233) 이 이미 존재하는 게이트 재료 층 (251) 상단에 있는 레지스트 재료 층 (255) 으로 마스킹되는 경우에 크게 악화는 것을 예시한다 (도 2c의 각 3을 도 2a 및 도 2b의 각 2와 비교하면 된다).
따라서, 이온 주입 이외의 기법들이 일정하고 균일하고 비용 측면에서 효과적인 3-D 트랜지스터들의 핀 형상 채널 영역 도핑을 위해서 필요하다. 본 명세서에서 개시된 방식은 도펀트 함유 막을 수직 구조물 상에 컨포멀하게 증착한 후에 도펀트 함유 막을 사용하여서 고 종횡비 수직 핀 형상 채널 구조물들을 도핑하는 것이다. 기본적인 사상이 도 3a 및 도 3b에서 예시된다. 도 3a는 캡핑 막 (320) 과 결합된 도펀트-함유 막 (310) 의 기본 구조를 예시한다. 도 3b는 수직 핀-형상 채널 구조물 (131) 상에 컨포멀하게 증착된 이러한 막을 예시한다. 이 막은 실질적으로 목표 구조물의 형상에 대해서 실질적으로 컨포멀하기 때문에, 예를 들어서 열적 어닐링을 사용하여서 이 막으로부터 목표 구조물로 도펀트를 확산 이동시키는 것은 목표 구조물의 균일한 도핑을 낳을 것이다. 조성, 이러한 컨포멀 막들을 증착하기 위한 기법, 이러한 막으로부터 채널 구조물로의 도펀트의 이동 및 이러한 동작들을 달성하기 위한 관련 장치들을 포함하여 이러한 컨포멀 막들의 세부사항들이 본 명세서에서 자세하게 기술된다. 또한, 보다 일반적으로는, 컨포멀하게 증착된 막들을 통한 도핑이 또한 다른 타입의 고 종횡비 디바이스 구조물들을 도핑하는데도 유용할 수 있으며 통상적인 이온 주입 또는 지향성 도핑 방법들이 부적합한 수많은 상황들에서 적합하게 사용될 수 있다는 것이 또한 주목된다. 예를 들어서, 통상적인 실리콘 기반 미세전자소자들 이외에도, 컨포멀 도핑의 다른 응용들은 GaAs와 같은 III-V 반도체들, 및 HgCdTe와 같은 II-VI 반도체들을 기초로 하는 미세전자소자들 및 광학전자소자들 및 광전소자들, 플랫 패널 디스플레이들 및 일렉트로크로믹 기술을 포함할 수 있다.
그러나, 컨포멀 도핑이 (예를 들어서, 이온 주입 기법에 비해서) 목표 구조물의 균일한 수직 도핑을 위한 잠재력의 측면에서 특유의 이점들을 제공할 수 있지만, 실제로 IC 트랜지스터 제조에 적합한 농도에서의 예를 들어서 붕소 또는 인으로의 균일한 도핑은 달성하기 어렵다고 입증되었다. 이러한 문제의 근원이 조사되었으며, 특정 이론에 매이지 않고 이 문제는 충분한 도펀트가 목표 채널 영역 내로 이동할 수 있기 이전에 이러한 막들로부터의 도펀트의 신속한 역-확산으로부터 기인된다고 사료된다. 달리 말하면, 역 확산으로 인한 증착된 컨포멀 막에서의 도펀트 균일성 및/또는 충분한 농도의 부재로 인해서 채널 영역에서 충분한 도펀트 균일성 및/또는 도펀트 농도의 부재가 발생하는 것으로 보인다.
이러한 문제는 도 4a, 도 4b 및 도 4c에서 예시된 실험들에 의해서 나타난다. 이 실험들은 붕소 함유 막들-특히, BSG 막들-을 실리콘 웨이퍼의 전방 측면 및 후방 측면 상에 컨포멀하게 증착하는 것을 수반한다. 전방 측 붕소 함유 막 및 후방 측 붕소 함유 막이 도 4a 및 도 4b에 각기 예시되며 도면들에서 도시된 바와 같이, 각각은 2 개의 실리콘 이산화물 (SiO2) 층들 간에 샌드위치된 도펀트 함유 BSG 막을 포함한다. 실리콘 웨이퍼 반대편에 있는 BSG 막의 측면 상에 형성된 SiO2 막은 "캡핑 층" 또는 "캡핑 막"으로서 지칭될 수 있지만, 이러한 용어들은 반드시 이렇게 지칭된 막/층이 웨이퍼에 비해서 최상의 막/층이어야 함을 암시하지 않는다는 것이 이해되어야 한다. 그보다는, 본 명세서에서 사용되는 이러한 용어들은 간단히 일부 도펀트-함유 막이 "캡핑" 막/층과 기판 간에 위치함을 말한다. 도 4a 및 도 4b는 도펀트-함유 막들이 ALD (atomic layer deposition) 프로세스를 통해서 증착되었고 BSG 막이 샌드위치하는 SiO2 막들의 두께의 약 2 배의 두께로 (각기 100 대 50 Å) 증착되었음을 나타낸다. 이러한 증착 이후에, BSG 막으로부터 인접하는 막들 및 웨이퍼 기판 내로의 붕소 도펀트의 확산의 레이트를 가속시키도록 막들이 어닐링된다.
이어서, 붕소 도펀트 및 다른 화학적 종들 (실리콘, 산소) 의 농도들이 산소 이온 (O+) 으로 된 500 keV 빔을 사용하는 SIMS에 의해서 측정되었고 그 결과들이 도 4c에 도시된다. 도 4c에 도시된 바와 같이, SIMS 실험들은 BSG 막에 인접하는 상단 SiO2 막의 에지에서의 붕소 농도의 피크를 보이며 BSG 막의 인접하는 에지에서의 붕소 농도의 대응하는 최소점 (dip) 을 보이며, 이러한 양자의 효과들은 BGS 막의 에지로부터 붕소 원자들의 역 확산으로부터 기인된다. 도 4c는 또한 하단 SiO2 층 내에서의 일부 붕소의 존재 및 붕소 및 산소가 그 아래에 있는 실리콘 기판 내로 깊이 들어가 있음을 나타낸다. 그러나, 이러한 영역들에서의 이러한 종들의 존재는, 반드시 500 keV O+ 이온 빔 내의 이온들의 높은 운동 에너지가 이러한 원자들을 막 및 기판 내로 깊게 "노킹 (knock)" 하는, 500 keV O+ 이온 빔의 이른바 "노크-온 효과 (knock-on effect)" 로부터 기인된다고 사료되며, 따라서 이러한 측정치들은 이 실험 이전의 막 및 기판 조성을 나타내지는 않는다. 어느 경우에서도, 상단 SiO2 막에서의 붕소 피크 및 BSG 막 내에서의 대응하는 인접하는 붕소 최소점 (dip)-이들은 "노크-온 효과"의 결과일 수 없음-은 도펀트 역 확산의 발생을 나타내며, SiO2 캡핑 막이 이러한 역 확산을 방지하는데 부족하다는 사실을 나타낸다.
상술한 바와 같이, 증착된 막으로부터의 도펀트의 역 확산은 이 막 내에서의 도펀트 농도 및 따라서 그 아래에 놓인 재료를 균일하게 도핑하기 위한 도구로서 이 막을 사용하는 바에 악영향을 준다. 그러나, SiO2 캡핑 막은 컨포멀 도펀트-함유 막으로부터의 역 확산을 방지하는데 부족하지만, 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 재료, 또는 이들의 조합으로 형성된 캡핑 막들은 실질적으로 보다 효과적임이 발견되었다. 예를 들어서, 실리콘 카바이드 (SiC) 캡핑 막을 사용하는 효과들이 도 5에 도시된 실험 결과들에 의해서 입증된다. 도 5는 SiO2 캡핑 막에 대해서 도 4에서 나타난 SIMS 결과들과 유사한, SiC 캡핑 막을 갖는 붕소 도펀트-함유 막에 대한 SIMS 결과들을 나타낸다. SiC-캡핑된 막들의 구조는 도 4a 및 도 4b에서 개략적으로 예시된 막들과 동일하지만, SiO2 대신에 SiC가 들어간다. 도 5는 BSG 막에 인접하는 상단 SiC 막에서 나타나는 붕소 피크가 도 4의 SiO2 막 내에서의 동일한 위치에서의 붕소 피크에 비해서 실질적으로 감소되었고, 이로써 SiC 캡핑 막이 SiO2 재료로 형성된 균등한 캡핑 막보다 붕소 역 확산을 실질적으로 양호하게 방지하는 것을 나타내고 있다.
따라서, 도펀트 역 확산을 저감 또는 방지하는 것과 관련된 이점들로 인해서, 반도체 기판들 상의 부분적으로 제조된 3-D 트랜지스터들의 채널 영역들을 도핑하는 방법들이 본 명세서에서 개시되며, 이 방법들은 실리콘 카바이드 (SiC) 재료, 실리콘 나이트라이드 재료 (SiN), 실리콘 카르보나이트라이드 (SiCN) 재료, 또는 이들의 조합으로 캡핑 막을 형성하는 것을 포함한다. 도펀트 확산을 저감 또는 방지하기 위해서, SiC/SiN/SiCN 캡핑 막은 도펀트 함유 막의 적어도 일부가 기판과 이 캡핑 막 간에 위치하도록 위치된다. 이로써, 예를 들어서 도펀트를 기판의 목표 영역으로 이동시키기 위해서 열적 어닐링을 하는 동안에, 이 캡핑 막은 도펀트-함유 막으로부터 그리고 핀-형상 채널 영역으로부터 멀어지게 도펀트가 확산되는 것을, 이러한 캡핑 막의 부재 시의 동일한 열적 어닐링 조건들 동안의 확산 레이트에 비해서, 20% 이상, 또는 30% 이상, 또는 40% 이상, 또는 50% 이상, 또는 60% 이상, 또는 70% 이상, 또는 80% 이상, 또는 90% 이상만큼, 막을 수 있다.
특정한 이러한 방법들이 도 6a의 흐름도에 의해서 개략적으로 예시된다. 이 도면에서 도시된 바와 같이, 이 방법들은 도펀트-함유 막을 ALD 프로세스에 의해서 형성된 다수의 도펀트 함유 층들을 갖는 기판 상에 형성하는 동작 610 에서 시작한다. 이어서, 방법들은 동작 620 으로 진행하며, 이 동작에서 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 재료, 또는 이들의 조합을 함유하는 캡핑 막을 형성하며, (상술한 바와 같이) 이 캡핑 막은 기판과 캡핑 막 간에 도펀트-함유 막이 위치되게 위치한다. 마지막으로, 3-D 트랜지스터의 핀-형상 채널 영역으로의 도펀트의 이동이 동작 630 에서 발생하며, 이 동작은 도펀트-함유 막으로부터 채널 영역 내로 도펀트를 이동시키는 것을 수반한다. 예를 들어서, 열적 어닐링이 이를 위해서 사용될 수도 있다. 일부 실시예들에서, 레이저 어닐링, 스파이크 어닐링, 레이저 스파이크 어닐링, RTP (급속 열적 프로세싱), RTA (급속 열적 어닐링) 또는 밀리초 어닐링이 사용될 수 있다. 이러한 실례들 중 다수의 것들에서는, 도펀트를 채널 영역 내로 이동시키는 것은 고온을 생성하지만 단지 짧게 함으로써 "낮은 열적 예산" 내에서 달성된다. 일부 실시예들에서, 도펀트는 붕소일 수 있다. 다른 실시예들에서, 도펀트는 인 또는 비소일 수 있다. 또한, 다른 도펀트 종들이 이러한 기법들을 사용하여서 반도체 기판 상의 목표 구조물/영역으로 이동될 수 있다. IC 제조 동작들 중 일부 시퀀스에서, 도펀트 함유 막 및/또는 캡핑 막의 적어도 일부는 도펀트가 목표 구조물/영역 내로 이동된 후에 제거될 수 있다.
상술한 바와 같이, 목표 구조물 내에서 균일한 도펀트 농도를 달성하기 위해서, 증착된 도펀트-함유 막이 목표 구조물의 형상에 실질적으로 컨포멀하게 되는 것이 중요하다. 원칙적으로, 컨포멀 도펀트-함유 막을 증착하는 임의의 구현가능한 방법이 전술한 채널 영역 도핑 방식과 함께 잠재적으로 사용될 수 있지만, 본 기술 분야에서 ALD로 지칭되는 기법이 효과적인 기법이라고 발견되었다.
활성화된 가스상 반응들이 막들을 증착하는데 사용되는 화학 기상 증착 (CVD) 프로세스와는 대조적으로, ALD 프로세스들은 층 단위로 막들을 증착하도록 표면 매개형 증착 반응들을 사용한다. 예를 들어서, 일 부류의 ALD 프로세스에서, 제 1 막 프리커서 (P1) 가 가스상으로 프로세싱 챔버 내로 도입되고, 기판으로 노출되며, 기판의 표면 상으로 (통상적으로 표면 활성 자리들의 분포 지점들로) 흡착되게 된다. P1의 화학흡착된 종들 및 물리흡착된 분자들을 포함하여 P1 중 일부 분자들이 기판 표면 상에서 응결된 상을 형성할 수 있다. 이어서, 기판 표면을 둘러싸는 볼륨이 배기되어서 가스상의 물리흡착된 P1을 제거하고 이로써 오직 화학흡착된 종들만이 남는다. 이어서, 제 2 막 프리커서 (P2) 가 프로세싱 챔버 내로 도입되고 이로써 P2의 일부 분자들이 기판 표면으로 흡착된다. 프로세싱 챔버 내의 기판을 둘러싸는 볼륨이 다시 배기되어서 이번에는 결합되지 않은 P2를 제거한다. 이어서, 기판으로 제공된 에너지 (예를 들어서, 열적 에너지 또는 플라즈마 에너지) 가 P1과 P2의 흡착된 분자들 간의 표면 반응들을 활성화하여서 막 층을 형성한다. 마지막으로, 다시 기판 주변의 볼륨이 배기되어서 반응하지 않은 P1 및/또는 P2 및/또는 존재한다면 반응 부산물을 제거하며 이로써 단일 ALD 사이클이 종료된다.
다양한 화학물들을 갖는 컨포멀 막들을 증착하기 위한 ALD 기법들-기본 ALD 프로세스 시퀀스에 대하여 수많은 변경사항들을 가질 수 있음-이 4/11/2011에 출원된 미국 특허 출원 번호 13/084,399 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (변호사 문서 번호. NOVLP405), 9/23/2011에 출원된 미국 특허 출원 번호 13/242,084 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (이는 현재 미국 특허 번호 8,637,411가 됨) (변호사 문서 번호. NOVLP427), 9/1/2011에 출원된 미국 특허 출원 번호 13/224,240 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (변호사 문서 번호. NOVLP428), 및 9/7/2012에 출원된 미국 특허 출원 번호 13/607,386 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (변호사 문서 번호. NOVLP488) 에서 자세하게 기술되며, 각 특허 문헌은 모든 목적들을 위해서 그 전체가 본 명세서에서 참조로서 인용된다. 이러한 선행하는 애플리케이션들에서 기술된 바와 같이, 기판 상이 단일 재료 층을 증착하기 위한 기본 ALD 사이클은, (i) 흡착-제한된 층을 형성하도록 기판 상에 막 프리커서를 흡착시키는 동작, (ii) 흡착된 프리커서 주변의 볼륨으로부터 흡착되지 않은 프리커서를 제거하는 동작, (iii) 흡착된 프리커서를 반응시켜서 기판 상에 막으로 된 층을 형성하는 동작, 및 (iv) 기판 상에 형성된 막으로 된 층 주변의 볼륨으로부터 탈착된 막 프리커서 및/또는 반응 부산물을 제거하는 동작을 수반한다. 동작들 (ii) 및 (iv) 에서의 제거들은 기판 주변의 볼륨을 퍼징하거나, 배기하거나, 기저 압력으로의 펌핑 다운 (pump-to-base) 등을 하여서 수행될 수 있다. 이러한 기본적인 ALD 동작들의 시퀀스 (i) 내지 (iv) 는 상술된 실례에서와 같이 반드시 2 개의 화학흡착된 반응 종들 P1 및 P2 을 수반하는 것도 아니며 제 2 반응 종들을 반드시 수반하는 것도 아니며, 이러한 가능한 사항들/옵션들은 관여된 목표 증착 화학물들에 따라서 채용될 수 있다는 것이 주목된다.
그러나, ALD의 흡착-제한 성질로 인해서, 단지 단일 ALD 사이클로 재료 박막을 증착하며 때로는 단지 단일 재료 모노레이어를 증착한다. 예를 들어서, 막 프리커서 도징 동작들의 노출 시간 및 막 프리커서들의 (기판 표면으로의) 점착 계수에 따라서, 각 ALD 사이클은 단지 약 0.5 내지 3 Å 두께의 막 층을 증착할 수 있다. 이로써, 통상적인 ALD 사이클에서의 동작들의 시퀀스-바로 위에서 언급된 동작들 (i) 내지 (iv)-가 일반적으로 목표된 두께의 컨포멀 막을 형성하기 위해서 다수 회 반복된다. 따라서, 일부 실시예들에서, 동작들 (i) 내지 (iv) 은 적어도 1 회, 또는 적어도 2 회, 또는 적어도 3 회, 또는 적어도 5 회, 또는 적어도 7 회, 또는 적어도 10 회 연이어서 반복될 수 있다. 도펀트 ALD 막은 ALD 사이클당 약 또는 0.1 Å내지 2.5 Å, 또는 ALD 사이클당 약 또는 0.2 Å 내지 2.0 Å, 또는 ALD 사이클당 약 또는 0.3 Å 내지 1.8 Å, 또는 ALD 사이클당 약 또는 0.5 Å 내지 1.5 Å, 또는 ALD 사이클당 약 또는 0.1 Å 내지 1.5 Å, 또는 ALD 사이클당 약 또는 0.2 Å 내지 1.0 Å, 또는 ALD 사이클당 약 또는 0.3 Å 내지 1.0 Å, 또는 ALD 사이클당 약 또는 0.5 Å 내지 1.0 Å의 레이트로 증착될 수 있다.
일부 막 형성 화학물질들에서, 보조 반응물 또는 공반응물-"막 프리커서"로서 지칭되는 것에 추가되어서-이 또한 채용될 수 있다. 특정한 이러한 실시예들에서, 보조 반응물 또는 공-반응물은 단계들 (i) 내지 (iv) 이 반복되는 때에 단계들 (i) 내지 (iv) 의 서브세트 동안에 또는 단계들 (i) 내지 (iv) 각각에 걸쳐서 연속적으로 유동될 수 있다. 일부 실시예들에서, 이러한 다른 반응성 화학 종들 (보조 반응물, 공-반응물, 등) 은 (상술된 프리커서들 P1 및 P2를 수반하는 실례에서와 같이) 막 프리커서와 반응하기 이전에 막 프리커서와 함께 기판 표면으로 흡착될 수 있지만, 다른 실시예들에서, 기판의 표면 상으로의 선행하는 흡착 없이 자체적으로 흡착된 막 프리커서와 접촉하면서 이 흡착된 막 프리커서와 반응할 수 있다. 또한, 일부 실시예들에서, 흡착된 막 프리커서를 반응시키는 동작 (iii) 은 흡착된 막 프리커서를 플라즈마와 접촉시키는 것을 수반할 수 있다. 플라즈마는 기판 표면 상에서의 막-형성 반응이 일어나게 에너지를 제공할 수 있다. 특정한 이러한 실시예들에서, 플라즈마는 적합한 RF 전력의 인가로 반응 챔버 내에서 생성된 산화성 플라즈마일 수 있다 (하지만, 일부 실시예들에서, 플라즈마는 리모트로 (remotely) 생성될 수 있다). 다른 실시예들에서, 산화성 플라즈마 대신에, 불활성 플라즈마가 사용될 수 있다. 산화성 플라즈마는 O2, N2O, 또는 CO2와 같은 하나 이상의 산화제들로 형성될 수 있으며 선택사양적으로 Ar, N2, 또는 He와 같은 하나 이상의 희석제들을 포함할 수 있다. 일 실시예에서, 산화성 플라즈마는 O2 Ar로 형성된다. 적합한 불활성 플라즈마는 He 또는 Ar와 같은 하나 이상의 불활성 가스들로부터 형성될 수 있다. ALD 프로세스들에 대한 다른 변경사항들은 바로 인용된 (그리고 참조로서 포함되는) 선행 특허 출원들에서 세부적으로 기술된다.
따라서, ALD 프로세스를 통해서 도펀트-함유 막을 형성하기 위한 동작들의 기본 시퀀스가 도 6b의 흐름도에서 개략적으로 예시된다. 이 도면에서 도시된 바와 같이, 기판 상에 다수의 도펀트-함유 막 층들을 형성하기 위한 ALD 프로세스는 프리커서가 기판 상에서 흡착 정지된 층을 형성하도록 도펀트-함유 막 프리커서를 기판 상으로 흡착시키는 동작 611 및 이후에 적어도 일부 비흡착된 도펀트-함유 막 프리커서를 흡착된 프리커서 주변의 볼륨으로부터 제거하는 동작 612 과 함께 시작될 수 있다. 이어서, 동작 613 에서, 흡착된 도펀트-함유 막 프리커서가 반응하여서 기판 상에 도펀트-함유 층을 형성하고, 그 이후에, 동작 614 에서, 탈착된 도펀트-함유 막 프리커서 및/또는 반응 부산물이, 동작 613 에서 흡착된 프리커서를 반응시킨 후에 존재하는 경우에는, 도펀트-함유 층 주변의 볼륨으로부터 제거된다.
동작들 611 내지 614 의 전술한 시퀀스는 1 회 ALD 사이클을 나타낸다. 그러나, 단일 ALD 사이클은 통상적으로 오직 얇은 층의 막만을 증착하기 때문에, 다수의 ALD 사이클들이 도펀트-함유 막으로 된 다수의 층들 (또는 등가적으로는 목표 두께를 갖는 다중-층 도펀트 함유 막) 을 형성하도록 순서대로 반복될 수 있다. 이로써, 도 6b를 다시 참조하면, ALD 사이클이 동작 614 에서 완료된 후에, 동작 615 에서, 충분한 개수의 도펀트-함유 막으로 된 층들이 형성되었는지의 여부가 결정되고, 그렇다면, 막 형성 동작들은 완료되고, 그렇지 않으면, 프로세스 시퀀스는 동작 611 으로 돌아가서 다른 사이클의 ALD을 시작한다. 도 6a 및 도 6b는 3-D 트랜지스터의 채널 영역을 도핑하는 방법으로서 서로 결합되게 보여질 수 있다는 것이 주목되며, 도펀트-함유 막 형성 동작 610 (도 6a) 은 동작들 611 내지 615 의 ALD 프로세스 시퀀스 (도 6b) 를 포함한다.
일부 실시예들에서, 부분적으로 제조된 3-D 트랜지스터의 핀-형상 채널 영역을 도핑하기 위한 도펀트-함유 막은 도펀트-풍부한 부분들 및 실질적으로 도펀트가 없는 부분들을 (도펀트가 없을 수 있는 캡핑 막에 추가하여서) 포함할 수 있다. 따라서, 예를 들어서, 일부 실시예들에서, 도펀트-함유 막은 실질적으로 도펀트가 없는 층의 증착을 개입시키지 않으면서, 다수의 도펀트 함유 층들을 순차적으로 컨포멀하게 증착함으로써 형성된 제 1 도펀트-풍부 부분 및 도펀트-함유 층 증착을 개입시키지 않으면서 다수의 실질적으로 도펀트가 없는 층들을 순차적으로 컨포멀하게 증착함으로써 형성된 제 1의 실질적으로 도펀트가 없는 부분을 포함할 수 있다.
또한, 특정한 이러한 실시예들에서, 증착된 막 내에서 교번하는 조성의 구조를 형성하는, 다수의 도펀트-풍부 부분들 및 다수의 실질적으로 도펀트가 없는 부분들이 존재할 수 있다. 이러한 바를 행하는 한가지 이유는 일부 경우들에서, 순차적 ALD 사이클들을 사용하여서, 순차적으로 도펀트-함유 막 층들을 증착하는 것은 (일부 실시예들에서는 적어도 특정 정도로) 자기-억제적일 수 있으며 성장 레이트는 점점 보다 많은 도펀트-풍부 층들이 증착된 후에는 계속하여서 떨어질 수 있다는 것이 발견되었다는 사실로 인해서이다. 예를 들어서, 일 실험에서, 순차적 ALD 사이클들을 사용하여서 증착된 B2O3 막의 총 두께는 50 번째 ALD 사이클과 100 번째 ALD 사이클 간에서 크게 변화되지 않았다. 이로써, 일부 실시예들에서, 일부 도펀트들의 경우에, 그리고 일부 도펀트-함유 막 프리커서들의 경우에, ALD 형성된 컨포멀 막 내의 도펀트의 정량은 추가 도펀트-풍부 층들을 순차적으로 증착함으로써 효과적으로 증가될 수 없다. 이러한 측면들은 9/7/2012에 출원된 미국 특허 출원 번호 13/607,386 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (변호사 문서 번호. NOVLP488) 에서 자세하게 기술되며, 이 문헌은 모든 목적들을 위해서 전체가 본 명세서에서 참조로서 인용된다.
이로써, 교번하는 조성을 갖는-도펀트-풍부 부분과 실질적으로 도펀트가 없는 부분 간에서 교번하는-도펀트-함유 막들을 형성하는 것이 바람직할 수 있다. 따라서, 이러한 종류의 실시예들에서, 도펀트-함유 막은 제 2 도펀트-풍부 부분 (또한 실질적으로 도펀트가 없는 층의 증착을 개입시키지 않고서, 다수의 도펀트-함유 층들을 순차적으로 컨포멀하게 증착함으로써 형성됨) 및 또한 제 2 실질적으로 도펀트가 없는 막 부분 (다시 한번 말하자면 도펀트-함유 층의 증착을 개입시키지 않고서, 다수의 실질적으로 도펀트가 없는 층들을 순차적으로 컨포멀하게 증착함으로써 형성됨) 을 더 포함할 수 있으며, 이러한 제 1 및 제 2, 도펀트-풍부 및 실질적으로 도펀트가 없는 부분들은 조성에 있어서 서로 교번하도록 배열될 수 있는데, 예를 들어서, 제 1 실질적으로 도펀트가 없는 부분이 제 1 및 제 2 도펀트-풍부 부분들 간에 위치하며, 제 2 도펀트-풍부 부분이 제 1 및 제 2 실질적으로 도펀트가 없는 부분들 간에 위치할 수 있다. 이러한 구성에서, 제 1 및 제 2 도펀트-풍부 부분들 및 제 1 및 제 2 실질적으로 도펀트가 없는 부분들이 캡핑 막과 기판 간에서 있도록 캡핑 막이 위치할 수 있다. 도 7은 도펀트-풍부 부분들 (710) 이 실질적으로 도펀트가 없는 부분들 (720) 과 교번하며 또한 SiC/SiN/SiCN 캡핑 막 (730) 을 갖는 이러한 하나의 예시적인 막 (700) 의 개략을 제공한다.
이러한 막들의 도펀트-풍부 부분들은 예를 들어서 도 6b와 관련하여서 상술한 바와 같은 다수의 ALD 사이클들에 의해서 다수의 층들로 형성될 수 있다. 그러나, 다수의 ALD 사이클들이 또한 사용되어서, (도펀트-함유 프리커서 대신에) 도펀트가 없는 막 프리커서를 사용하여서 이러한 막들의 실질적으로 도펀트가 없는 부분들로 된 다수의 층들을 형성할 수 있다. 이로써, 예를 들어서, 일부 실시예들에서, 바로 위에서 언급된 (예를 들어서 도 7 참조) 제 1 및 제 2 실질적으로 도펀트가 없는 부분들 각각을 형성하는 것은 (i) 도펀트가 없는 막 프리커서가 흡착 정지된 층을 형성하도록 도펀트가 없는 막 프리커서를 기판 상으로 흡착시키는 것, 이후에 (ii) 비흡착된 도펀트가 없는 막 프리커서를 흡착된 프리커서 주변의 볼륨으로부터 제거하는 것, 그 이후에 (iii) 비흡착된 프리커서를 제거한 후에 흡착된 도펀트가 없는 막 프리커서를 반응시켜서 실질적으로 도펀트가 없는 층을 기판 상에서 형성하는 것, 및 마지막으로 (iv) 탈착된 도펀트가 없는 막 프리커서 및/또는 반응 부산물을, 이들이 흡착된 프리커서를 반응시킨 후에 존재한다면, 실질적으로 도펀트가 없는 층 주변의 볼륨으로부터 제거하는 것을 포함할 수 있다. 전술한 바와 같이, 다수의 ALD 사이클들이 사용되어서 다수의 층들을 형성할 수 있으며, 따라서 동작들 (i) 내지 (iv) 의 전술한 시퀀스가 다수 회 반복되어서 도펀트-함유 막 중 다수의 실질적으로 도펀트가 없는 층들을 형성할 수 있다. 일부 실시예들에서, 이러한 실질적으로 도펀트가 없는 층들은 유전체 재료를 포함할 수 있으며, 특정한 이러한 실시예들에서, 유전체 재료는 SiO2 일 수 있음이 주목된다.
교번하는 조성을 갖는 부분들을 갖는 컨포멀 막들-그 아래에 놓인 목표 IC 구조물 또는 기판 영역을 도핑하기 위해서 사용되는 막들을 포함함-및 이러한 막들을 형성하는 방법들의 다른 실례들이 4/11/2011에 출원된 미국 특허 출원 번호 13/084,399 "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION" (변호사 문서 번호. NOVLP405); 9/23/2011에 출원된 미국 특허 출원 번호 13/242,084 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (현재 미국 특허 번호 8,637,411임) (변호사 문서 번호. NOVLP427); 9/1/2011에 출원된 미국 특허 출원 번호 13/224,240 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION" (변호사 문서 번호. NOVLP428); 및 9/7/2012에 출원된 미국 특허 출원 번호 13/607,386 "CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION" (변호사 문서 번호. NOVLP488) 에서 자세하게 기술되어 있으며, 이러한 문헌들 각각은 모든 목적들을 위해서 본 명세서에서 참조로서 인용된다.
캡핑 막에 대한 세부사항들
일부 실시예들에서, 캡핑 막이 또한 ALD 프로세스를 통해서 형성될 수 있다. 예를 들어서, 일부 실시예들에서, 다수의 층들의 캡핑 막이 다수 회 반복되는 동작들 (i) 내지 (iv) 의 시퀀스 (바로 위에서 언급됨) 를 통해서 증착되어서 다수의 층들의 캡핑 막을 형성할 수 있다. 그러나, 도 4 및 도 5를 참조하여서 상술한 이유들로 인해서, SiO2로 된 실질적으로 도펀트가 없는 층들이 막 중의 도펀트-풍부 부분들 간에 분포되어 있을 수도 있지만, 캡핑 막은 통상적으로는 SiC, SiN, SiCN, 또는 이들의 조합과 같은 도펀트 역확산을 차단하기에 적합한 재료로 구성될 수 있다. 다른 실시예들에서, 화학 기상 증착 (CVD) 프로세스가 사용되어서 캡핑 막을 형성할 수 있으며 특정한 이러한 실시예들에서, 플라즈마 강화 CVD (PECVD) 프로세스가 사용될 수 있다. 그러나, CVD/PECVD 프로세스들은 반응물들의 흡착 정지된 층의 형성을 통해서가 아니라 가스상 반응들을 통해서 진행되기 때문에, 이들은 ALD 기법보다 덜 컨포멀한 막들을 생성하며, 따라서, ALD 프로세스들이 대체적으로 본 명세서에서 기술된 캡핑 막들을 형성하기 위해서 바람직하다.
이 캡핑 막은 대체적으로 충분한 농도의 SiC, SiN, SiCN, 또는 이들의 조합을 가질 것이며 관련된 화학물질 및 확산 레이트에 기초하여서 실현가능한 목표된 정도로 도펀트의 역확산을 차단하도록 충분한 두께로 형성될 것이다. 예를 들어서, 일부 실시예들에서, 캡핑 막 내에서의 SiC의 평균 농도는 약 1 내지 4 g/cm3, 또는 약 2 내지 3 g/cm3, 또는 약 2.2 내지 2.8 g/cm3일 수 있다. 마찬가지로, 일부 실시예들에서, 캡핑 막 내에서의 SiCN의 평균 농도는 약 1 내지 4 g/cm3, 또는 약 2 내지 3 g/cm3, 또는 약 2.2 내지 2.8 g/cm3 일 수 있으며, 일부 실시예들에서, 캡핑 막 내에서의 SiN의 평균 농도는 약 1 내지 4 g/cm3, 또는 약 2 내지 3 g/cm3, 또는 약 2.2 내지 2.8 g/cm3일 수 있다. 마지막으로, 일부 실시예들에서, 캡핑 막 내에서의 SiC, SiN, 및 SiCN의 합쳐진 평균 농도는 약 1 내지 4 g/cm3, 또는 약 2 내지 3 g/cm3, 또는 약 2.2 내지 2.8 g/cm3 일 수 있다.
마찬가지로, 실시예에 따라서, 캡핑 막은 약 1, 2, 3, 5, 10, 20, 30, 40, 50, 100, 150, 200, 300, 또는 500 Å의 평균 두께를 갖게 형성될 수 있거나, 소정의 실시예에 대응하는 캡핑 막은 상한과 하한이 전술한 두께들 중의 임의의 쌍에 의해서 규정되는 범위 내에서 평균 두께를 가질 수 있으며, 예를 들어서, 캡핑 막은 약 1 내지 500 Å, 또는 약 5 내지 200 Å, 또는 약 10 내지 100 Å의 평균 두께를 가질 수 있다. 일부 실시예들에서, 캡핑 막은 실질적으로 컨포멀-예를 들어서, 캡핑 막이 ALD 프로세스를 통해서 형성되는 경우임-하며, 이로써 예를 들어서 막 두께의 상대적인 표준 편차에 의해서 정량화가능한 상대적으로 일정한 두께를 가질 수 있다. 따라서, 캡핑 막이 실질적으로 컨포멀한 실시예들에서, 그의 두께의 상대적 표준 편차는 약 20% 미만, 또는 약 15% 미만, 또는 약 10% 미만, 또는 약 5% 미만, 또는 약 4% 미만, 또는 약 3% 미만, 또는 약 2% 미만, 또는 약 1% 미만, 또는 심지어 약 0.1% 미만일 수도 있다.
ALD 프로세스 조건들
도펀트-함유 막들 및/또는 캡핑 막들을 형성하기 위한 ALD 프로세스들은 다양한 온도들에서 수행될 수 있다. 일부 실시예들에서, ALD 반응 챔버 내에서의 적합한 온도는 약 25 ℃ 내지 450 ℃, 또는 약 50 ℃ 내지 300 ℃, 또는 약 20 ℃ 내지 400 ℃, 또는 약 200 ℃ 내지 400 ℃, 또는 약 100 ℃ 내지 350 ℃ 범위에 있을 수 있다.
마찬가지로, 도펀트-함유 막들 및/또는 캡핑 막을 형성하기 위한 ALD 프로세스들은 다양한 ALD 반응 챔버 압력들에서 수행될 수 있다. 일부 실시예들에서, 반응 챔버 내에서의 적합한 압력들은 약 10 mTorr 내지 10 Torr, 또는 약 20 mTorr 내지 8 Torr, 또는 약 50 mTorr 내지 5 Torr, 또는 약 100 mTorr 내지 2 Torr 범위에 있을 수 있다.
플라즈마가 동작 (iii) 에서 사용되는 경우에 다양한 RF 전력 레벨들이 채용되어서 플라즈마를 생성할 수 있다. 일부 실시예들에서, 적합한 RF 전력은 약 100 W 내지 10 kW, 또는 약 200 W 내지 6 kW, 또는 약 500 W 내지 3 kW, 또는 약 1 kW 내지 2 kW 범위에 있을 수 있다.
다양한 도펀트-함유 막 프리커서 플로우 레이트가 동작 (i) 에서 채용될 수 있다. 일부 실시예들에서, 적합한 플로우 레이트들은 약 또는 0.1 mL/min 내지 10 mL/min, 또는 약 또는 0.5 mL/min 내지 5 mL/min, 또는 약 또는 1 mL/min 내지 3 mL/min의 범위에 있을 수 있다.
다양한 가스 플로우 레이트들이 다양한 동작들에서 사용될 수 있다. 일부 실시예들에서, 대체적인 가스 플로우 레이트들는 약 또는 1 L/min 내지 20 L/min, 또는 약 또는 2 L/min 내지 10 L/min 의 범위에 있을 수 있다. 동작들 (ii) 및 (iv) 에서의 선택사양적인 비활성 퍼지 단계들 동안에, 채용된 버스트 (burst) 플로우 레이트는 약 또는 20 L/min 내지 100 L/min, 또는 약 또는 40 L/min 내지 60 L/min일 수 있다.
다시 한번 말하자면, 일부 실시예들에서, 펌프-투-베이스 단계 (pump-to-base step) 는 반응 챔버를 하나 이상의 진공 펌프들에 직접적으로 노출시킴으로써 반응 챔버를 기저 압력까지 펌핑하는 것을 말한다. 일부 실시예들에서, 기저 압력은 통상적으로 단지 수 milliTorr (예를 들어서, 약 1 내지 20 mTorr) 일 수 있다. 또한, 상술한 바와 같이, 펌프-투-베이스 단계는 불활성 퍼지가 따를 수도 있고 그러지 않을 수도 있으며, 따라서 캐리어 가스들은 하나 이상의 밸브들이 진공 펌프로의 컨덕턴스 경로를 개방할 때에 흐르거나 흐르지 않을 수도 있다.
캡핑 막들의 형성을 위한 화학물질들
다양한 막-형성 화학물질들이 캡핑 막을 형성하는데 사용될 수 있다. 캡핑 막들은 바람직하게는 실리콘 카바이드 (SiC) 재료, 실리콘 나이트라이드 (SiN) 재료, 실리콘 카르보나이트라이드 (SiCN) 재료, 또는 이들의 조합을 함유한다. 이러한타입들의 막들을 증착하기 위한 방법들, 기법들 및 동작들은, 2012년 6월 12에 출원된 미국 특허 출원 번호 13/494,836 "REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS" (변호사 문서 번호. NOVLP466/NVLS003722); 2013년 5월 31일에 출원된 미국 특허 출원 번호 13/907,699 "METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES" (변호사 문서 번호. LAMRP046/3149); 및 미국 특허 출원 번호 14/062,648 "GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS" 에서 자세하게 기술되어 있으며, 이 문헌들 각각은 모든 목적들을 위해서 그 전체가 본 명세서에서 참조로서 인용된다.
이러한 막들의 증착은 다양한 화합물들로부터 선택될 수 있는 하나 이상의 실리콘-함유 막 프리커서들을 사용할 수 있다. 예를 들어서, 실리콘-카본-함유 막들 (예를 들어서, 실리콘 카바이드들, 실리콘-카본-산화물들, 실리콘 카르보나이트라이드들, 및 실리콘-카본-옥시나이트라이드들) 이 목표된 조성 특성들 및 일부 경우들에서는 물리적 또는 전자적 특성들을 제공하도록 선택 및 공급되는 유기실리콘 반응물들과 같은 실리콘-함유 막 프리커서들을 사용하여서 형성될 수 있다. 적합한 유기-실리콘 반응물들/막-프리커서들의 실례들은 다른 것들 중에서도 실란들, 알킬 실란들, 실록산들, 알콕시 실란들 및 아미노 실란들을 포함할 수 있다.
실란들의 경우에, 비한정적인 실례들은 실란, 디실란, 트리실란 및 보다 높은 차수의 실란들을 포함한다.
알킬 실란들의 경우에, 이러한 화합물들은 중앙 실리콘 원자, 이 실리콘 원자에 결합된 하나 이상의 알킬 그룹들 및 이 실리콘 원자에 결합된 하나 이상의 수소 원자들을 포함한다. 특정 실시예들에서, 알킬 그룹들 중 임의의 하나 이상은 1-5 카본 원자들을 함유한다. 알킬 그룹들은 포화되거나 포화되지 않을 수 있다. 일부 실시예들에서, 이러한 알킬 그룹들은 SiC 막들을 형성하는데 사용될 수 있다. 알킬 실란들의 비한정적 실례들은 2MS (dimethylsilane), 3MS (trimethylsilane), 4MS (tetramethylsilane), TES (triethylsilane), 및 펜타메틸디실라메탄 (pentamethyldisilamethane) 을 포함한다.
다른 타입들의 알킬 실란들은 알킬카보실란들, 알킬아미노실란들 및 알킬디실라잔들을 포함할 수 있다. 알킬카보실란들은 분기된 폴리머성의 구조물을 갖는데 카본이 실리콘 원자에 결합되고 알킬 그룹들이 실리콘 원자에 결합된다. 그 실례들은 DTMSM (dimethyl trimethylsilyl methane) 및 BDMSE (bis-dimethylsilyl ethane) 을 포함한다. 알킬아미노실란들은 알킬 그룹들을 가지며 실리콘 원자에 결합된 아민들을 포함한다. 그 실례들은 DMADMS (dimethylamino dimethylsilane), BDMAMS (bis-dimethylamino methylsilane), 및 TDMAS (tris-dimethylamino silane) 을 포함한다. 일부 실시예들에서, 이러한 알킬 실란들은 SiCN 막들을 형성할 수 있다. 알킬디실라잔들은 2 개의 실리콘 원자들에 결합된 알킬 그룹들 및 실라잔들을 포함한다. 그 실례는 1,1,3,3-TMDSN (tetramethyldisilazane) 를 포함한다. 일부 실시예들에서, TMDSN는 SiCN 막들을 형성할 수 있다.
또한, 보다 높은 차수의 실란들이 모노실란들 대신에 사용될 수 있다. 알킬 실란 부류로부터의 하나의 이러한 디실란의 실례는 HMDS (hexamethyldisilane) 이다. 알킬 실란 부류로부터의 디실란의 다른 실례는 PMDS (pentamethyldisilane) 를 포함할 수 있으며, 이는 SiC 막들을 형성하는데 사용될 수 있다. 일부 실시예들에서, 실리콘 원자들 중 하나는 이 원자에만 유일하게 (exclusively) 부착된 카본-함유 또는 알콕시-함유 그룹을 가질 수 있으며, 실리콘 원자들 중 하나는 이 원자에만 유일하게 (exclusively) 부착된 수소 원자들을 가질 수 있다.
유기-실리콘 막-프리커서들의 가능한 부류인 실록산들의 경우에, 일부 실시예들에서, 실록산은 사이클릭일 수 있다. 사이클릭 실록산들 (cyclic siloxanes) 은 사이클로테트라실록산들, 예를 들어서 2,4,6,8-TMCTS (tetramethylcyclotetrasiloxane), OMCTS (octamethylcyclotetrasiloxane), 및 HMCTS (heptamethylcyclotetrasiloxane) 을 포함할 수 있다. 다른 사이클릭 실록산들은 다음으로 한정되지 않지만 사이클로트리실록산들 및 사이클로펜타실록산들을 포함할 수 있다. 사이클릭 실록산들은 SiC 막 내로 기공성을 도입할 수 있는 링 구조를 가지며 기공의 크기가 링의 반경에 대응한다. 예를 들어서, 사이클로테트라실록산 링은 약 6.7 Å의 반경을 가질 수 있다. 일부 실시예들에서, 실록산은 3차원 또는 캐이징된 (caged) 구조를 가질 수 있다. 캐이징된 실록산들은 폴리헤드론 또는 임의의 3 차원 구조를 형성하도록 산소 원자들을 통해서 서로 연결된 (bridged) 실리콘 원자들을 가질 수 있다. 캐이징된 실록산 프리커서 분자의 실례는 실세스퀴옥산 (silsesquioxane) 이다. 캐이징된 실록산 구조들은 Cleemput 등에 의한 공동으로 소유된 미국 특허 번호 6,576,345에 상세하게 더 기술되며, 이 문헌은 모든 목적들을 위해서 본 명세서에서 참조로서 인용된다. 사이클릭 실록산들과 마찬가지로, 캐이징된 실록산은 기공성을 SiC 막 내로 도입할 수 있다. 일부 실시예들에서, 기공율 크기는 메조포러스하다 (mesoporous). 일부 실시예들에서, 실록산은 선형일 수 있다. 선형 실록산들은 다음으로 한정되지 않지만 디실록산들, 예를 들어서 PMDSO (pentamethyldisiloxane), TMDSO (tetramethyldisiloxane), 및 헥사메틸 트리실록산을 포함한다. PMDSO 및 TMDSO은 SiOC 막들을 형성하는데 사용될 수 있다. 실록산의 구조적 구성 (즉, 선형, 사이클릭 또는 캐이징된 구조) 은 막 기공율 특성에 영향을 줄 수 있다. 예를 들어서, 사이클릭 실록산들은 사이클릭 링 크기에 따라서 크기가 정해지는 기공들을 갖는 미세기공성 막들을 형성할 수 있으며, 캐이징된 실록산들은 메조포러스한 막들을 형성할 수 있다.
실리콘-카본-함유 막들도 또한 산소 원자들을 포함하며 (예를 들어서, 실리콘-카본-산화물들 및 실리콘-카본-옥시나이트라이드들), 이러한 것들은 또한 실록산들 (위에서 열거된 것들) 및 예를 들어서 알콕시 실란들과 같은, 산소를 포함하는 다른 유기실리콘 반응물들을 사용하여서 형성될 수 있다. 알콕시 실란들은 중앙의 실리콘 원자, 이 실리콘 원자에 결합된 하나 이상의 알콕시 그룹들 및 이 실리콘 원자에 결합된 하나 이상의 수소 원자들을 포함한다. 그 실례들은 다음으로 한정되지 않지만 TMOS (trimethoxysilane), DMOS (dimethoxysilane), MOS (methoxysilane), MDMOS (methyldimethoxysilane), DEMS (diethoxymethylsilane), DMES (dimethylethoxysilane), DMAMES (dimethylaminomethoxysilane), 및 DMMOS (dimethylmethoxysilane) 를 포함한다. 이러한 프리커서들 중 수많은 것들이 SiOC 막들을 형성하는데 사용될 수 있다.
실리콘-카본-함유 막들은 또한 질소 원자들을 포함할 수 있으며 (예를 들어서, 실리콘-카본-나이트라이드들 및 실리콘-카본-옥시나이트라이드들), 아미노 실란들 및 실라잔들과 같은, 질소를 포함하는 유기실리콘 반응물을 사용하여서 형성될 수 있다. 아미노 실란들의 비한정적 실례들은 2,2-bis(디메틸아미노(dimethylamino))-4,4-디메틸(dimethyl)-2,4-디실라펜탄(disilapentane), 2,2,4-트리메틸(trimethyl)-4-디메틸아미노(dimethylamino)-3,4-디실라펜탄(disilapentane), 디메틸아미노디메틸실란 (dimethylaminodimethylsilane), bis(디메틸아미노)메틸실란 및 tris(디메틸아미노)실란을 포함한다. 1,1,3,3-테트라메틸디실라잔(tetramethyldisilazane)은 실라잔의 비한정적인 실례이다. 아미노실란들의 다른 실례들은 모노-, 디-, 트리- 및 테트라-아미노실란 (각기 H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 및 치환된 모노-, 디-, 트리- 및 테트라-아미노실란들, 예를 들어서, t-부틸아미노실란, 메틸아미노실란, tert-부틸실란아민, bis(tertiarybutylamino)silane (SiH2(NHC(CH3)3)2 (BTBAS), tert-butyl silylcarbamate, SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 다른 실례는 트리실릴아민 (trisilylamine) (N(SiH3)3) 이다.
또한, 일부 실시예들에서, 막-프리커서는 단일 프리커서로 합쳐지는 다수의 화학적 그룹들을 포함한다. 예를 들어서, 단일 프리커서는 알콕시, 아미노 및 알킬 그룹들을 포함하며, 예를 들어서 DMADMS일 수 있다.
SiC-함유 막을 증착할 시에, 다수의 유기-실리콘 막 프리커서들이 프로세스 가스 내에 존재할 수 있다. 예를 들어서, 실록산 및 알킬 실란이 함께 사용될 수 있으며, 또는 실록산 및 알콕시 실란이 함께 사용될 수 있다. 개별 프리커서들의 상대적 비율들은 선택된 프리커서들의 화학적 구조들 및 결과적인 SiC 막의 응용사항에 기초하여서 선택될 수 있다. 예를 들어서, 다공성 막을 생성하기 위해서는 실록산의 양은 실란의 양보다 몰 백분율로 하여서 더 클 수 있다.
실리콘 나이트라이드들 (SiN) 및 실리콘 카르보나이트라이드들 (SiCN) 의 증착을 위해서, 적합한 실리콘-함유 반응물/막-프리커서, 예를 들어서 위에서 기술된 것들이 질소-함유 공-반응물과 함께 사용될 수 있다. 사용될 수 있는 질소-함유 공-반응물의 비한정적 실례들은 암모니아, 히드라진, 아민들, 예를 들어서, 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, di-t-부틸아민, 사이클로프로필아민, sec-부틸아민, 사이클로부틸아민, 이소아밀아민 (isoamylamine), 2-메틸부탄-2-아민, 트리메틸아민, 디이소프로필아민, 디에틸이소프로필아민, di-t-부틸히드라진, 및 방향족 함유 아민들, 예를 들어서 아닐린들, 피리딘들 및 벤질아민들을 포함한다. 아민들은 1차, 2차, 3차 또는 4차 (예를 들어서, 테트라알킬암모늄 화합물들) 일 수 있다. 질소-함유 공-반응물은 적어도 하나의 질소를 포함하지만, 질소가 아닌 이종원자들을 포함할 수도 있다. 따라서, 예를 들어서, 하이드록실아민, t-부틸옥시카보닐 아민, 및 N-t-부틸 하이드록실아민이 질소-함유 반응물들로서 고려된다.
마지막으로, 실리콘 산화물들 (SiOx)-이는 일부 실시예들에서는 캡핑 막을 형성하기 위해서 단독으로는 바람직하지 않음-을 증착하기 위해서, 이들은 도펀트-함유 막의 도펀트-풍부 부분들 간에서 분포되는 것이 바람직하다-상술한 적합한 실리콘-함유 반응물/막-프리커서가 적합한 산화 반응물과 함께 사용될 수 있다. 산화 반응물들의 실례는 산소, 오존, 수소, 니트로우스 옥사이드 (nitrous oxide), 카본 일산화물, 이들의 혼합물 등을 포함한다. 일 특정 실례에서, 산화물 막은 실리콘-함유 막 프리커서로서의 BTBAS (bis(tert-butylamino)silane) 를, 실시예에 따라서 BTBAS 전달 동안에 (ALD 동작 (i) 시에) 연속적으로 유동하거나 유동하지 않을 수도 있는, 예를 들어서 ALD 동작 (iii) 에서, 산화제로서 기능하는 산소 또는 니트로우스 옥사이드 (nitrous oxide) 과 함께 사용하여서 ALD 프로세스에 의해서 증착될 수 있다.
도펀트 함유 막들의 형성을 위한 화학물질들
위에서 참조로서 인용되고 열거된 특허 출원들 (미국 특허 출원 번호들 13/084,399, 13/242,084, 및 13/224,240) 에서 기술된 바와 같이, 다양한 도펀트-함유 막 프리커서들이, 붕소-도핑된 실리케이트 유리 (BSG) 막 (위에서 도 4 및 도 5의 실례들에서 도펀트-함유 막으로서 사용됨), 인-도핑된 실리케이트 유리 (PSG) 막, 붕소 인 도핑된 실리케이트 유리 (BPSG) 막, 비소 (As) 도핑된 실리케이트 유리 (ASG) 막 등과 같은 도펀트-함유 막들을 형성하기 위해서 사용될 수 있다. 도펀트-함유 막들은 B2O3, B2O, P2O5, P2O3, As2O3, As2O5, 등을 포함할 수 있다.
도펀트가 붕소인 일 바람직한 도펀트-함유 막 프리커서는 트리메틸 보레이트 (TMB) 이다. 다른 적합한 붕소-함유 막 프리커서들은 다른 알킬 보레이트들, 예를 들어서 트리에틸 보레이트, 트리이소프로필 보레이트, 및 트리-n-부틸 보레이트 및 트리메틸붕소, 트리에틸붕소, 트리페닐붕소, 트리-i-프로필 보레이트, 트리-n-아밀 보레이트, B-트리브로모보라진 (tribromoborazine), tris(펜타플루오로페닐)보란, 및 다른 유사한 붕소 함유 화합물들을 포함할 수 있다.
상술한 바와 같이, 붕소 이외의 도펀트들을 갖는 도펀트-함유 막들이 또한 가능하다. 그 실례들은 갈륨, 인, 또는 비소 도펀트들 또는 다른 원소가 III 족 원소 및 V 족 원소와 같은 반도체 기판을 도핑하는데 적합한 다른 원소들을 포함한다.
도펀트로서 비소를 갖는 특정 도펀트-함유 막들은 다음으로 제한되지 않지만, 아세노-실리케이트 (arseno-silicate) 또는 ASG (arsenic-doped silicate glass), 비소 산화물 (예를 들어서, As2O3, As2O5), 및 비소 옥시하이드라이들 (arsenic oxyhydrides) 을 포함한다. 도펀트로서 비소를 갖는 도펀트-함유 막 프리커서들은 다음으로 한정되지 않지만 알킬아르신 (alkylarsine), 알콕시아르신 (alkoxyarsine), 및 아미노아르신 화학물질 족들을 포함하며, 다음으로 한정되지 않지만 다음과 같은 특정 화합물들을 포함한다: 아르신 (arsine), 트리에틸아레세네이트 (triethylaresenate), 트리메틸아르신, 트리에틸아르신, 트리페닐아르신, 트리페닐아르신 산화물, 에틸렌bis(디페닐아르신), tris(디메틸아미노)아르신, 및 As(OR)3 (여기서 R 은 -CH3 또는 -C2H5 또는 다른 알킬 그룹들 (포화된 알킬 그룹들 및 포화되지 않은 알킬 그룹들을 포함함) 임), 또한 다른 유사한 비소 함유 화합물들을 포함할 수 있다.
도펀트로서 인을 갖는 특정 도펀트-함유 막들은 다음으로 한정되지 않지만, PSG (phosphorus-doped silicate glass), 및 인 산화물 (phosphorous oxides) (예를 들어서, P2O5, P2O3) 을 포함한다. 도펀트로서 인을 갖는 도펀트-함유 막 프리커서들은 다음으로 한정되지 않지만 트리에톡시포스핀 산화물 (triethoxyphosphine oxide), 알킬 포스페네이트들 (phosphates), 예를 들어서 트리메틸포스페네이트, 트리메틸포스파이트 (trimethylphosphite), 및 다른 유사한 인 함유 화합물들을 포함한다. 도펀트 프리커서 선정은 통상적으로 기존의 전달 시스템 내로 통합되는 것의 용이성, 순도 요건 및 전반적 비용에 의해서 지시된다.
일부 실시예들에서, 도펀트-함유 막 프리커서는 실리콘-함유 막 프리커서 또는 다른 공-반응물과 함께 사용될 수 있다. 이러한 목적을 위해서 사용될 수 있는 실리콘-함유 막 프리커서들은 다음으로 한정되지 않지만 실란 (SiH4), 디실란 (Si2H6), 및 유기 실란들, 예를 들어서 메틸실란, 에틸실란, 이소프로필실란, t-부틸실란, 디메틸실란, 디에틸실란, 디-t-부틸실란, 아릴실란 (allylsilane), sec-부틸실란, 텍실실란 (thexylsilane), 이소아밀실란, t-부틸디실란, 디-t-부틸디실란, 등을 포함한다. 캡핑 층 형성 시에 사용될 수 있다고 위에서 열거된 다른 실리콘-함유 막 프리커서들이 또한 실시예에 따라서 도펀트-함유 막 형성을 위해서 사용될 수 있다.
다시 한번 말하자면, 다수의 ALD 사이클들이 컨포멀 층들의 스택을 구축하기 위해서 반복될 수 있다. 일부 실시예들에서, 각 층은 실질적으로 동일한 조성을 가질 수도 있지만, 다른 실시예들에서, 순차적으로 ALD 증착된 층들은 상이한 조성들을 가질 수 있으며, 또는 특정한 이러한 실시예들에서, 조성은 층들 간에서 교번하거나, 상술한 바와 같은 상이한 조성들을 갖는 층들의 반복하는 시퀀스가 존재할 수 있다. 따라서, 실시예에 따라서, 위에서 참조로서 열거 및 인용된 특허 출원들 (미국 특허 출원 번호 13/084,399, 13/242,084, 및 13/224,240) 에서 개시된 것들과 같은, 특정 스택 엔지니어링 개념들이 사용되어서 이러한 막들 내에서의 붕소, 인 또는 비소 농도를 조절할 수 있다.
장치
본 명세서에서 기술된 방법들은 임의의 적합한 반도체 기판 프로세싱 장치에서 수행될 수 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 명세서에서 개시된 다양한 채널 도핑 방법들을 따라서 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 일부 실시예들에서, 하드웨어는 다중 스테이션 기판 프로세싱 툴 내에 포함된 하나 이상의 프로세스 스테이션들 및 본원에서 개시된 프로세싱 기법들에 따라서 프로세스 동작들을 제어하기 위한 머신 판독가능한 인스트럭션들을 갖는 (또는 이에 액세스하는) 제어기를 포함할 수 있다.
따라서, 일부 실시예들에서, 반도체 기판들의 표면들 상의 부분적으로 제조된 3차원 트랜지스터들의 핀-형상 (fin-shaped) 채널 영역들을 도핑하기에 적합한 장치는 하나 이상의 프로세싱 챔버들 내에 수용된 복수의 프로세스 스테이션들로서, 프로세스 스테이션들 각각은 기판 홀더를 갖는, 복수의 프로세스 스테이션들; 및 도펀트-함유 막 프리커서의 프로세스 스테이션들로의 흐름을 제어하기 위한 하나 이상의 밸브들; 및 하나 이상의 프로세싱 챔버들 내에 수용된 프로세스 스테이션들 주변의 볼륨들로부터 도펀트-함유 막 프리커서를 제거하기 위한 하나 이상의 밸브-작동된 진공 소스들을 포함할 수 있다. 이러한 장치는 또한 반도체 기판들의 표면들 상의 핀-형상 채널 영역들을 도핑하도록 하나 이상의 밸브들 및 하나 이상의 진공 소스들을 동작시키기 위한 머신-판독가능한 인스트럭션들을 갖는 (또는 이에 액세스할 수 있는) 하나 이상의 제어기들을 포함할 수 있다. 따라서, 일부 실시예들에서, 이 제어기에 의해서 실행되는 상기 인스트럭션들은 프로세싱 챔버 내에 수용된 프로세스 스테이션에서 반도체 기판 상에 도펀트-함유 막을 형성하기 위한 인스트럭션들을 포함하며, 도펀트-함유 막 중의 다수의 도펀트-함유 층들이 인스트럭션들에 따라서 원자 층 증착 프로세스에 의해서 형성된다. 이로써, 특정한 이러한 실시예들에서, 이 제어기에 의해서 실행되는 상기 인스트럭션들은 상술된 ALD 동작들 (i) 내지 (iv) 을 수행하기 위한 인스트럭션들, 및 기판 프로세싱 장치의 다수의 프로세스 스테이션들에서 다수의 기판들 상에 도펀트 함유 막의 다수의 층들을 형성하도록 상술된 ALD 동작들 (i) 내지 (iv) 을 다수 회 반복하기 위한 인스트럭션들을 포함한다. 일부 실시예들에서, 이 제어기에 의해서 실행되는 상기 인스트럭션들은 실리콘 카바이드 재료, 실리콘 나이트라이드 재료, 실리콘 카르보나이트라이드 (silicon carbonitride) 재료, 또는 이들의 조합을 포함하는 캡핑 막을 형성하기 위한 인스트럭션들 및 도펀트를 도펀트-함유 막으로부터 핀-형상 채널 영역 내로 이동시키기 위한 인스트럭션들을 더 포함할 수 있다.
따라서, 도 8은 반응 챔버 프로세스 스테이션 (800) 의 실시예를 개략적으로 도시한다. 간략성을 위해서, 프로세스 스테이션 (800) 은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (802) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (800) 이 공통 프로세스 툴 분위기-예를 들어서, 공통 반응 챔버-내에서 포함될 수 있다는 것이 이해될 것이다. 예를 들어서, 도 9는 다중-스테이션 프로세싱 툴의 실시예를 도시한다. 또한, 일부 실시예들에서, 위에서 자세하게 기술된 것들을 포함하는, 프로세스 스테이션 (800) 의 하나 이상의 하드웨어들이 하나 이상의 컴퓨터 제어기들에 의해서 프로그램 방식으로 조절될 수 있다는 것이 이해될 것이다.
프로세스 스테이션 (800) 은 프로세스 가스들을 분배 샤워헤드 (806) 로 전달하기 위한 반응물 전달 시스템 (801) 과 유체적으로 연통한다. 반응물 전달 시스템 (801) 은 샤워헤드 (806) 로 전달할 프로세스 가스들을 혼합 및/또는 처리하기 위한 혼합 용기 (804) 를 포함한다. 하나 이상의 혼합 용기 유입 밸브들 (820) 이 프로세스 가스들의 혼합 용기 (804) 로의 도입을 제어할 수 있다.
일부 반응물들이 기화 이전에 프로세스 챔버로의 후속 전달 시에 액체 형태로 저장될 수 있다. 예를 들어서, 도 8의 실시예는 혼합 용기 (804) 로 제공될 액체 반응물들을 기화시키기 위한 기화 포인트 (803) 를 포함한다. 일부 실시예들에서, 기화 포인트 (803) 는 가열된 액체 주입 모듈일 수 있다. 일부 실시예들에서, 기화 포인트 (803) 는 가열된 기화기일 수 있다. 이러한 모듈들/기화기로부터 생성된 포화된 반응물 기체는, 적합한 제어가 발생하지 않으면 (예를 들어서, 헬륨이 액체 반응물을 기화/원자화할 시에 사용되지 않으면), 다운스트림 전달 파이프에서 응축될 수 있다. 양립되지 않은 가스들을 응축된 반응물에 노출하는 것은 작은 입자를 생성할 수 있다. 이러한 작은 입자들은 파이프를 막히게 하고 밸브 동작을 방해하며 기판들을 오염시킬 수 있다. 이러한 문제를 다루는 일부 방식들은 잔류 반응물을 제거하도록 전달 파이프를 스위핑 및/또는 배기하는 것을 수반할 수 있다. 그러나, 전달 파이프를 스위핑하는 것은 프로세스 스테이션 사이클 시간을 증가시키고 프로세스 스테이션 처리량을 떨어뜨릴 수 있다. 따라서, 일부 실시예들에서, 기화 포인트 (803) 의 다운스트림에 있는 전달 파이프는 열 추적될 수 있다 (heat traced). 일부 실례들에서, 혼합 용기 (804) 도 역시 열 추적될 수 있다. 일 비한정적 실례에서, 기화 포인트 (803) 의 다운스트림에 있는 파이프는 대략 100 ℃로부터 혼합 용기 (804) 에서의 대략 150 ℃까지 연장하는 증가하는 온도 프로파일을 갖는다.
언급한 바와 같이, 일부 실시예들에서, 기화 포인트 (803) 는 가열된 액체 주입 모듈 (간략하게 "액체 주입기") 일 수 있다. 이러한 액체 주입기는 액체 반응물의 펄스들을 혼합 용기의 업스트림에 있는 캐리어 가스 스트림 내로 주입할 수 있다. 일 시나리오에서, 액체 주입기는 액체를 고압에서 저압으로 플래싱 (flashing) 함으로써 반응물을 기화시킬 수 있다. 다른 시나리오에서, 액체 주입기는 액체를 분산된 미세액적들로 원자화하고 (atomize) 이 미세액적은 후속하여서 가열된 전달 파이프에서 기화된다. 보다 작은 액적들은 보다 큰 액적들보다 신속하게 기화되어서 액체 주입과 완료된 기화 간의 지연을 줄일 수 있다는 것이 이해될 것이다. 보다 신속한 기화는 기화 포인트 (803) 로부터 다운스트림에 있는 파이프의 길이를 줄일 수 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (804) 에 직접적으로 장착될 수 있다. 다른 시나리오에서, 액체 주입기는 샤워헤드 (806) 에 직접적으로 장착될 수 있다.
일부 실시예들에서, 기화 포인트 (803) 의 업스트림에 있는 액체 플로우 제어기 (LFC) 가 제공되어서 기화 및 프로세스 스테이션 (800) 으로의 전달을 위해서 액체의 질량 유량을 제어할 수 있다. 예를 들어서, 액체 플로우 제어기 (LFC) 는 LFC의 다운스트림에 있는 열적 질량 유량 미터 (MFM) 를 포함할 수 있다. 이어서, LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해서 제공되는 피드백 제어 신호들에 응답하여서, 조절될 수 있다. 그러나, 피드백 제어를 사용하여서 액체 플로우를 안정화시키는 것은 1 초 이상 걸릴 수 있다. 이는 액체 반응물을 도징하기 위한 시간을 연장시킬 수 있다. 일부 실시예들에서, LFC는 피드백 제어 모드와 직접적 제어 모드 간에서 동적으로 전환될 수 있다. 일부 실시예들에서, LFC는 LFC 및 PID 제어기의 감지 튜브가 기능하지 못하게 함으로써 피드백 제어 모드에서 직접적 제어 모드로 동적으로 전환될 수 있다.
샤워헤드 (806) 는 프로세스 가스들 및/또는 반응물들 (예를 들어서, 도펀트-함유 막 프리커서) 을 프로세스 스테이션에 있는 기판 (812) 을 향해서 분배시키는데, 이 프로세스 가스의 플로우는 샤워헤드로부터 업스트림에 있는 하나 이상의 밸브들 (예를 들어서, 밸브들 (820, 820A, 805)) 에 의해서 제어된다. 도 8에 도시된 실시예에서, 기판 (812) 은 샤워헤드 (806) 아래에 위치하고 페데스탈 (808) 상에 안치되게 도시된다. 샤워헤드 (806) 는 임의의 적합한 형상을 가질 수 있으며 프로세스들 가스들을 기판 (812) 으로 분배하기 위한 임의의 적합한 개수 및 구성의 포트들을 가질 수 있다는 것이 이해될 것이다.
일부 실시예들에서, 미세볼륨 (microvolume) (807) 이 샤워헤드 (806) 아래에 위치한다. 프로세스 챔버의 전체 볼륨보다 프로세션 스테이션의 이 미세볼륨 내에서 ALD 프로세스를 수행하는 것은 반응물 노출 및 스위핑 시간을 줄일 수 있으며, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간을 줄일 수 있으며, 프로세스 가스들로의 프로세스 스테이션 로봇들의 노출을 제약할 수 있다. 예시적인 미세볼륨은 다음으로 한정되지 않지만 0.1 리터 내지 2 리터의 볼륨을 포함한다.
일부 실시예들에서, 페데스탈 (808) 은 기판 (812) 을 미세볼륨 (807) 으로 노출시키고 및/또는 미세볼륨 (807) 의 체적을 변화시키도록 상승 또는 하강될 수 있다. 예를 들어서, 기판 전달 페이즈에서, 페데스탈 (808) 은 기판 (812) 이 페데스탈 (808) 상으로 로딩될 수 있도록 하강될 수 있다. 기판 프로세스 페이즈 시의 증착 동안에, 페데스탈 (808) 은 기판 (812) 을 미세볼륨 (807) 내로 위치시키도록 상승될 수 있다. 미세볼륨 (807) 은 기판 (812) 및 페데스탈 (808) 의 일부를 완전히 둘러싸서 증착 프로세스 동안에 고 플로우 임피던스 영역을 생성한다.
선택사양적으로, 페데스탈 (808) 은 증착 프로세스의 부분들 동안에 미세볼륨 (807) 내의 프로세스 압력, 반응물 농도 등을 조절하도록 상승 및/하강될 수 있다. 프로세스 챔버 바디 (802) 가 프로세스 동안에 기저 압력으로 유지되는 일 시나리오에서, 페데스탈 (808) 을 하강시키면 미세볼륨 (807) 이 배기될 수 있다. 미세볼륨의 프로세스 챔버 볼륨에 대한 예시적인 비들은 다음으로 한정되지 않지만 1:500 내지 1:10 볼륨비를 포함한다. 일부 실시예들에서, 페데스탈 높이는 적합한 시스템 제어기에 의해서 프로그램 방식으로 조절될 수 있다는 것이 이해될 것이다.
다른 시나리오에서, 페데스탈 (808) 의 높이를 조절하는 것은 플라즈마 밀도가 예를 들어서 ALD 또는 CVD 프로세스 내에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안에 조절될 수 있게 한다. 증착 프로세스 페이즈의 완료 시에, 페데스탈 (808) 은 기판 (812) 이 페데스탈 (808) 로부터 제거될 수 있도록 다른 기판 전달 페이즈 동안에 하강될 수 있다.
본 명세서에서 기술된 예시적인 미세볼륨 변화들은 높이-조절가능한 페데스탈을 의존하지만, 일부 실시예들에서, 샤워헤드 (806) 의 위치가 미세볼륨 (807) 의 체적을 조절하도록 페데스탈 (808) 에 대해서 조절될 수 있다는 것이 이해될 것이다. 또한, 페데스탈 (808) 및/또는 샤워헤드 (806) 의 수직 위치는 본 개시의 범위 내에서 임의의 적합한 메카니즘에 의해서 가변될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 페데스탈 (808) 은 기판 (812) 의 배향을 회전시키기 위한 회전 축을 포함할 수 있다. 일부 실시예들에서, 이러한 예시적인 조절들 중 하나 이상은 하나 이상의 적합한 시스템 제어기들에 의해서 프로그램 방식으로 수행될 수 있다는 것이 이해될 것이다.
도 8에 도시된 실시예로 돌아가면, 샤워헤드 (806) 및 페데스탈 (808) 은 플라즈마에 전력을 공급하기 위해서 RF 전력 공급부 (814) 및 매칭 네트워크 (816) 와 전기적으로 통신할 수 있다. 일부 실시예들에서, 플라즈마 에너지는 (예를 들어서, 적합한 머신 판독가능한 인스트럭션들을 갖는 시스템 제어기를 통해서) 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수, 및 플라즈마 전력 펄싱 타이밍 중 하나 이상을 제어함으로써 제어될 수 있다. 예를 들어서, RF 전력 공급부 (814) 및 매칭 네트워크 (816) 는 라디칼 종들의 목표된 조성을 갖는 플라즈마를 형성하는데 임의의 적합한 전력으로 동작될 수 있다. 적합한 전력들의 실례는 위에서 포함된다. 마찬가지로, RF 전력 공급부 (814) 는 임의의 적합한 주파수의 RF 전력을 제공할 수 있다. 일부 실시예들에서, RF 전력 공급부 (814) 는 서로 독립적으로 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 제어하도록 구성될 수 있다. 저 주파수 RF 주파수의 실례는 다음으로 한정되지 않지만 50 kHz 내지 500 kHz 주파수를 포함한다. 고 주파수 RF 주파수의 실례는 다음으로 한정되지 않지만 1.8 MHz 내지 2.45 GHz 주파수를 포함한다. 임의의 적합한 파라미터들이 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수 있다는 것이 이해될 것이다. 일 비한정적 실례에서, 플라즈마 전력은 연속적으로 전력을 공급받는 플라즈마들에 대해서 기판 표면의 이온 공격을 줄이도록 단속적으로 펄싱될 수 있다.
일부 실시예들에서, 플라즈마는 하나 이상의 플라즈마 모니터에 의해서 인-시츄 방식으로 모니터링될 수 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압, 전류 센서들 (예를 들어, VI 프로브들) 에 의해서 모니터링될 수 있다. 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 OES (optical emission spectroscopy) 센서들에 의해서 측정될 수 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들이 이러한 인-시츄 플라즈마 모니터들로부터의 측정치들에 기초하여서 프로그램 방식으로 조절될 수 있다. 예를 들어서, OES 센서가 플라즈마 전력의 프로그램적 제어를 제공하기 위해서 피드백 루프에서 사용될 수 있다. 일부 실시예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하는데 사용될 수 있다. 이러한 모니터는 다음으로 한정되지 않지만 적외선 (IR) 모니터, 음향 모니터 및 압력 트랜스듀서를 포함한다.
일부 실시예들에서, 플라즈마는 IOC (input/output control) 시퀀싱 인스트럭션들을 통해서 제어될 수 있다. 일 실례에서, 플라즈마 활성화 페이즈를 위한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈 내에 포함될 수 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은, 프로세스 페이즈에 대한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록, 순차적으로 배열될 수 있다. 일부 실시예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들이 플라즈마 프로세스 페이즈를 선행하는 레시피 페이즈 내에 포함될 수 있다. 예를 들어서, 제 1 레시피 페이즈는 비활성 가스 (예를 들어서, 헬륨) 의 플로우 레이트 및/또는 반응물 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함한다. 제 2 후속하는 레시피 페이즈는 플라즈마 생성기를 동작가능하게 하기 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함한다. 제 3 레시피 페이즈는 플라즈마 생성기를 동작하지 않게 하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함한다. 이러한 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 하위분할 및/또는 반복될 수 있다는 것이 이해될 것이다.
일부 증착 프로세스들에서, 플라즈마 점화는 수 초 이상의 차수 동안 지속된다. 본 명세서에서 기술된 특정 구현예들에서, 보다 단축된 플라즈마 점화들이 프로세싱 사이클 동안에 인가될 수 있다. 이는 50 ms 내지 1 초의 차수에 있으며, 특정 실례에서는 0.25 초이다. 이러한 짧은 RF 플라즈마 점화는 플라즈마의 신속한 안정화를 요구할 수 있다. 이를 달성하기 위해서, 플라즈마 생성기는, 주파수가 플로팅되게 되는 동안에 임피던스 매칭이 특정 전압으로 사전설정되도록 구성될 수 있다. 통상적으로, 고 주파수 플라즈마들은 약 13.56 MHz의 RF 주파수에서 생성된다. 본 명세서에서 개시된 다양한 실시예들에서, 주파수는 이 표준 값과 상이한 값으로 플로팅되게 된다. 임피던스 매칭을 사전 결정된 전압으로 고정시키면서 주파수가 플로팅되게 함으로써, 플라즈마는 매우 보다 신속하게 안정화될 수 있으며, 이 결과는 ALD 사이클들과 연관된 매우 짧은 플라즈마 점화를 사용할 때에 중요할 수 있다.
일부 실시예들에서, 페데스탈 (808) 은 가열기 (810) 를 통해서 온도 제어될 수 있다. 일부 실시예들에서, 프로세스 스테이션 (800) 에 대한 압력 제어는 버터플라이 밸브 (818) 와 같은 하나 이상의 밸브-작용된 진공 소스들을 사용하여서 제공될 수 있다. 도 8의 실시예에서 도시된 바와 같이, 버터플라이 밸브 (818) 는 다운스트림 진공 펌프 (미도시) 에 의해서 제공된 진공을 쓰로틀링한다. 그러나, 일부 실시예들에서, 프로세스 스테이션 (800) 의 압력 제어는 프로세스 스테이션 (800) 내로 도입되는 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조절될 수도 있다. 일부 실시예들에서, 하나 이상의 밸브-작용된 진공 소스들-예를 들어서, 버터플라이 밸브 (818)-이 사용되어서 적합한 ALD 동작 페이즈들 동안에 프로세스 스테이션들 주변의 볼륨들로부터 도펀트-함유 막 프리커서를 제거할 수 있다.
상술한 바와 같이, 하나 이상의 프로세스 스테이션들이 다중-스테이션 기판 프로세싱 툴에 포함될 수도 있다. 도 9는 공통 저압 프로세싱 챔버 (914) 내에서 복수의 프로세스 스테이션들 (901, 902, 903 및 904) 을 포함하는 다중-스테이션 프로세싱 툴 (900) 의 실시예의 개략도이다. 각 스테이션을 저압 분위기로 유지함으로써, 막 증착 프로세스들 간의 진공 파괴에 의해서 초래되는 디펙트들이 피해질 수 있다.
도 9에 도시된 바와 같이, 다중-스테이션 프로세싱 툴 (900) 이 하나 또는 양자가 리모트 플라즈마 소스를 포함할 수도 있는, 인바운드 로드록 (922) 및 아웃바운드 로드록 (924) 을 갖는다. 대기압에서, 로봇 (926) 은 포드 (pod) (928) 를 통해 로딩된 카세트로부터 대기 포트 (atmospheric port) (920) 를 통해 인바운드 로드록 (922) 으로 웨이퍼들을 이동시키도록 구성된다. 웨이퍼는 로봇 (926) 에 의해 인바운드 로드록 (922) 내의 페데스탈 (912) 상에 위치되고, 대기 포트 (920) 는 닫히고, 로드록은 펌프다운된다. 인바운드 로드록 (922) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (914) 내에 도입되기 전에 로드록 내의 리모트 플라즈마 처리에 노출될 수도 있다. 게다가, 웨이퍼는 또한 예를 들어, 수분 및 흡수된 가스들을 제거하기 위해, 인바운드 로드록 (922) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (914) 로의 챔버 이동 포트 (916) 가 개방되고, 다른 로봇 (미도시) 이 프로세싱 스테이션 (901) 의 페데스탈 (908) 상의 프로세싱 챔버 내에 웨이퍼를 위치시킨다. 도 9에서 도시된 실시예는 로드록들을 포함하지만, 일부 실시예들에서, 프로세스 챔버 내로 웨이퍼가 직접적으로 제공될 수도 있다는 것이 이해될 것이다.
도 9에 도시된 프로세싱 챔버 (914) 는 4 개의 프로세스 스테이션들 (901, 902, 903 및 904) 을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 (901) 에 대해 (918) 로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시예들에서, 프로세스 스테이션 각각이 상이한 목적 또는 다수의 목적들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세스 스테이션은 ALD 모드와 CVD 프로세스 모드 사이에서 전환가능할 수도 있다. 부가적으로 또는 대안적으로, 일부 실시예들에서, 프로세싱 챔버 (914) 는 ALD 프로세스 스테이션과 CVD 프로세스 스테이션 간의 하나 이상의 매칭된 쌍들을 포함할 수도 있다. 도시된 프로세싱 챔버 (914) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시예들에서, 프로세싱 챔버는 1, 또는 2, 또는 3, 또는 4, 또는 5, 또는 6, 또는 7, 또는 8, 또는 9, 또는 10, 또는 11, 또는 12, 또는 13, 또는 14, 또는 15, 또는 16, 또는 이보다 많은 개수의 프로세스 스테이션들을 가질 수 있다 (또는 실시예들의 세트는 전술한 값들의 임의의 쌍에 의해서 규정된 범위 내에서 반응 챔버당 프로세스 스테이션들의 개수를 갖는 것으로서 기술될 수 있으며, 예를 들어서 반응 챔버당 2 개 내지 6 개의 프로세스 스테이션들 또는 반응 챔버당 4 개 내지 8 개의 프로세스 스테이션들 또는 반응 챔버당 8 개 내지 16 개의 프로세스 스테이션들 등을 가질 수 있다).
도 9는 또한 프로세싱 챔버 (914) 내에서 웨이퍼들의 이송을 위한 웨이퍼 핸들링 시스템 (990) 의 일 실시예를 도시한다. 일부 실시예들에서, 웨이퍼 핸들링 시스템 (990) 은 다양한 프로세스 스테이션들 사이 및/또는 프로세스 스테이션과 로드록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 이해될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (wafer carousels) 및 웨이퍼 핸들링 로봇들을 포함한다.
도 9는 또한 프로세스 툴 (900) 및 이의 프로세스 스테이션들의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (950) 의 일 실시예를 도시한다. 시스템 제어기 (950) 는 하나 이상의 메모리 디바이스들 (956), 하나 이상의 대용량 저장 디바이스들 (954), 및 하나 이상의 프로세서들 (952) 을 포함할 수도 있다. 프로세서 (952) 는 하나 이상의 CPU 또는 ASIC, 범용 컴퓨터 및/또는 특정 목적의 컴퓨터, 하나 이상의 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 하나 이상의 스텝퍼 모터 제어기 보드들, 등을 가질 수도 있다.
일부 실시예들에서, 시스템 제어기 (950) 는 그의 개별 프로세스 스테이션들의 동작을 포함하여 프로세스 툴 (900) 의 일부 또는 모든 동작들을 제어한다. 시스템 제어기 (950) 는 프로세서 (952) 상에서 머신 판독가능한 시스템 제어 인스트럭션들 (958) 을 실행하며, 시스템 제어 인스트럭션들 (958) 은 일부 실시예들에서는 대용량 저장 디바이스 (954) 로부터 메모리 디바이스 (956) 로 로딩된다. 시스템 제어 인스트럭션들 (958) 은 타이밍, 가스상 반응물들 및 액체 반응물들의 혼합, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 웨이퍼 온도, 타깃 전력 레벨들, RF 전력 레벨들, RF 노출 시간, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (900) 에서 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 이러한 프로세스들은 다음으로 한정되지 않지만 기판들 상에 막을 증착하는 것과 관련된 프로세스들을 포함하는 다양한 타입들의 프로세스들을 포함할 수도 있다. 시스템 제어 인스트럭션들 (958) 은 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 인스트럭션들 (958) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다. 일부 실시예들에서, 시스템 제어 인스트럭션들 (958) 은 소프트웨어로 구현되며, 다른 실시예들에서 이 인스트럭션들은 하드웨어로 구현될 수 있으며-예를 들어서, ASIC (application specific integrated circuit) 내의 로직으로서 하드-코딩될 수 있으며, 다른 실시예들에서, 하드웨어와 소프트웨어의 조합으로서 구현될 수 있다.
일부 실시예들에서, 시스템 제어 인스트럭션들 (958) 은 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 (sequencing) 인스트럭션들을 포함할 수도 있다. 예를 들어, 증착 프로세스 또는 프로세스들의 페이즈 각각은 시스템 제어기 (950) 에 의해 실행할 하나 이상의 인스트럭션들을 포함할 수도 있다. 예를 들어서 도펀트-함유 막 증착 프로세스 페이즈에 대한 프로세스 조건들을 설정하기 위한 인스트럭션들이 대응하는 증착 레시피 페이즈 내에 포함될 수도 있으며, 캡핑 막 증착 페이즈에 대해서 동일하게 적용될 수 있다. 일부 실시예들에서, 프로세스 페이즈를 위한 모든 인스트럭션들이 이 프로세스 페이즈와 동시에 실행되도록 레시피 페이즈들이 연속적으로 배열될 수도 있다.
시스템 제어기 (950) 와 연관된 대용량 저장 디바이스 (954) 및/또는 메모리 디바이스 (956) 에 저장된 다른 컴퓨터-판독가능한 인스트럭션들 및/또는 프로그램들이 일부 실시예들에서 채택될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 (positioning) 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 가열기 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (918) 상에 기판을 로딩하고 반도체 기판과 프로세스 툴 (900) 의 다른 부분들 사이의 이격거리를 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 인스트럭션들을 포함할 수도 있다. 포지셔닝 프로그램은 도펀트-함유 막 및 캡핑 막을 기판들 위에 증착하는데 필요한대로 기판들을 반응 챔버 내로 그리고 외부로 적절하게 이동시키기 위한 인스트럭션들을 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하고 선택사양적으로 하나 이상의 프로세스 스테이션 주변의 볼륨들 내로, 이러한 볼륨 내의 압력을 안정화시키기 위해, 증착 이전에 가스를 유동시키기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 프로세스 가스 제어 프로그램은 기판들 상에 도펀트-함유 막을 증착하는 동안에 프로세싱 챔버 내의, 하나 이상의 프로세스 스테이션들 주변의 볼륨(들) 내로 특정 가스들을 도입하기 위한 인스트럭션들 및 기판들 상에 캡핑 막을 증착하는 동안에 상이한 가스들을 도입하기 위한 인스트럭션들을 포함한다. 프로세스 가스 제어 프로그램은 증착되는 막의 조성에 따라서 동일한 레이트로, 동일한 기간 동안에 또는 상이한 레이트로 및/또는 상이한 기간 동안에 이러한 가스들을 전달하기 위한 인스트럭션들을 포함할 수 있다. 프로세스 가스 제어 프로그램은 또한 가열된 주입 모듈 내에서 헬륨 또는 일부 다른 캐리어 가스의 존재 시에 액체 반응물을 원자화/기화하기 위한 인스트럭션들을 포함할 수도 있다.
압력 제어 프로그램은 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 등을 조정함으로써 프로세스 스테이션 내의 압력을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 압력 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안에 동일한 또는 상이한 압력들을 유지하기 위한 인스트럭션들을 포함할 수도 있다.
가열기 제어 프로그램은 기판을 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 인스트럭션들을 포함할 수도 있다. 대안적으로 또는 부가적으로, 가열기 제어 프로그램은 기판으로의 (헬륨과 같은) 열 전달 가스 (heat transfer gas) 의 전달을 제어할 수도 있다. 가열기 제어 프로그램은 기판들 상에 다양한 막 타입들의 증착 동안에 프로세스 스테이션들 주변의 볼륨들 및/또는 반응 챔버 내에서의 동일하거나 상이한 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 본 명세서의 실시예들에 따라 하나 이상의 프로세스 스테이션들 내에서 RF 전력 레벨들, 주파수들, 및 노출 시간들을 설정하기 위한 인스트럭션들을 포함할 수도 있다. 일부 실시예들에서, 플라즈마 제어 프로그램은 기판들 상에 도펀트-함유 막 및 캡핑 막 타입을 증착하는 동안에 동일하거나 상이한 RF 전력 레벨들, 및/또는 주파수들, 및/또는 노출 시간들을 사용하기 위한 인스트럭션들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (950) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (950) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 바이어스 전력 레벨들 및 노출 시간들과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (950) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (900) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터를 사용할 수도 있다.
시스템 제어기 (950) 는 상기 기술된 증착 프로세스들을 구현하기 위한 머신-판독가능한 인스트럭션들을 제공할 수도 있다. 이 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 이 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 막 스택들의 인-시츄 증착을 동작시키도록 이러한 파라미터들을 제어할 수도 있다.
시스템 제어기는 통상적으로 본 장치가 본 명세서에서 개시된 프로세스에 따라서 동작들을 수행하도록 머신 판독 가능한 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 본 명세서에서 개시된 기판 도핑 프로세스들에 따라서 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독가능한, 비일시적인 매체는 시스템 제어기와 커플링될 수도 있다.
상술된 다양한 장치 및 방법들은 예를 들어서 반도체 디바이스들, 디스플레이, LED들, 광전 패널 등의 제조 또는 제작을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 이러한 툴들/프로세스들은 반드시 그러한 것은 아니지만 공통 제조 시설 내에서 함께 및/또는 동시에 수행될 수 있다.
막 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴을 사용하여서 실현되는 다음의 동작들 중 몇몇 또는 모두를 포함하며, 이 동작들은 (1) 스핀-온 또는 스프레이-온 툴을 사용하여서, 예를 들어서 그 상에 형성된 실리콘 나이트라이드 막을 갖는 기판과 같은 기판 상에 포토레지스트를 도포하는 동작, (2) 고온 플레이트 또는 퍼니스 또는 다른 적합한 경화 툴을 사용하여서 포토레지스트를 경화하는 동작, (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여서 포토레지스트를 가시광선 또는 자외선 또는 x 선 광에 노출시키는 동작, (4) 습식 벤치 (wet bench) 또는 스프레이 현상기와 같은 툴을 사용하여서 레지스트를 선택적으로 제거하여서 이를 패터닝하도록 상기 포토레지스트를 현상하는 동작, (5) 건식 또는 플라즈마 보조 에칭 툴을 사용하여서 상기 레지스트 패턴을 그 아래의 막 또는 기판에 전사하는 동작 및 (6) RF 또는 마이크로파 플라즈마 레지스트 탈피기 (stripper) 와 같은 툴을 사용하여서 포토레지스트를 제거하는 동작을 포함할 수 있다. 일부 실시예들에서, 애시가능한 하드 마스크 (예를 들어서, 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예를 들어서 반사방지 층) 가 포토레지스트를 도포하기 이전에 증착될 수도 있다.
다른 실시예들
전술한 프로세스, 방법들, 시스템들, 장치들 및 조성들은 명료성 및 이해를 돕기 위해서 특정 실시예들의 맥락에서 세부적으로 기술되었지만, 본 개시의 범위 내에 있는, 이러한 프로세스, 방법들, 시스템들, 장치들 및 조성들을 구현하는 수많은 방식들이 존재함이 본 기술 분야의 당업자에게 이해될 것이다. 따라서, 본 명세서에서 기술된 실시예들은 개시된 창의적인 개념들을 예시적으로 설명한 것이며 한정적으로 이해되어서는 안 되며 종국에는 본 개시의 청구 대상에 관한 것인 임의의 청구항들의 범위를 부당하게 한정하는 허용불가능한 기초로서 사용되어서도 안 된다.

Claims (11)

  1. 실리콘 및 산소를 포함하는 막을 기판 상에 증착하는 방법에 있어서,
    (a) 챔버 내에 기판을 제공하는 단계;
    (b) 아미노 실란을 상기 챔버 내로 도입하는 단계;
    (c) 퍼지 가스를 사용하여 상기 챔버를 퍼지하는 단계;
    (d) 산화제를 상기 챔버 내로 도입하는 단계; 및
    (e) 상기 퍼지 가스를 사용하여 상기 챔버를 퍼지하는 단계를 포함하고,
    상기 단계 (b) 내지 상기 단계 (e) 는 목표된 두께의 상기 막이 증착될 때까지 반복되는, 막 증착 방법.
  2. 제 1 항에 있어서,
    상기 산화제는 산소, 오존, 수소, 니트로우스 옥사이드 (nitrous oxide), 카본 일산화물 및 이들의 혼합물들로 구성된 그룹으로부터 선택되는, 막 증착 방법.
  3. 제 1 항에 있어서,
    상기 산화제는 산화성 플라즈마를 포함하는, 막 증착 방법.
  4. 제 3 항에 있어서,
    상기 산화성 플라즈마는 상기 기판을 하우징하는 상기 챔버 내에서 생성되는, 막 증착 방법.
  5. 제 3 항에 있어서,
    상기 산화성 플라즈마는 리모트로 (remotely) 생성되는, 막 증착 방법.
  6. 제 1 항에 있어서,
    상기 막은 카본을 포함하는, 막 증착 방법.
  7. 제 6 항에 있어서,
    상기 막 내 카본의 농도는 1 내지 4 g/㎤인, 막 증착 방법.
  8. 제 1 항에 있어서,
    상기 막은 25 ℃ 내지 450 ℃의 온도에서 형성되는, 막 증착 방법.
  9. 제 1 항에 있어서,
    상기 아미노 실란은 상기 기판의 표면 상에 화학 흡착된 프리커서를 형성하도록 상기 기판의 상기 표면 상에 화학적으로 흡착되는, 막 증착 방법.
  10. 제 9 항에 있어서,
    상기 산화제를 도입하는 단계는 상기 단계 (c) 에서 상기 챔버를 퍼지한 후 수행되고, 그리고 상기 산화제는 상기 기판의 상기 표면 상의 화학 흡착된 프리커서와 반응하는, 막 증착 방법.
  11. 제 9 항에 있어서,
    상기 기판은 가열되는, 막 증착 방법.
KR1020220068022A 2014-02-28 2022-06-03 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들 KR102648013B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/194,549 2014-02-28
US14/194,549 US9997357B2 (en) 2010-04-15 2014-02-28 Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
KR1020150028413A KR102406983B1 (ko) 2014-02-28 2015-02-27 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150028413A Division KR102406983B1 (ko) 2014-02-28 2015-02-27 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들

Publications (2)

Publication Number Publication Date
KR20220079806A true KR20220079806A (ko) 2022-06-14
KR102648013B1 KR102648013B1 (ko) 2024-03-14

Family

ID=53949826

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150028413A KR102406983B1 (ko) 2014-02-28 2015-02-27 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
KR1020220068027A KR102658989B1 (ko) 2014-02-28 2022-06-03 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
KR1020220068022A KR102648013B1 (ko) 2014-02-28 2022-06-03 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020150028413A KR102406983B1 (ko) 2014-02-28 2015-02-27 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
KR1020220068027A KR102658989B1 (ko) 2014-02-28 2022-06-03 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들

Country Status (4)

Country Link
US (3) US9997357B2 (ko)
KR (3) KR102406983B1 (ko)
CN (2) CN104882381A (ko)
TW (1) TWI682438B (ko)

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11549181B2 (en) 2013-11-22 2023-01-10 Applied Materials, Inc. Methods for atomic layer deposition of SiCO(N) using halogenated silylamides
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US9515072B2 (en) * 2014-12-26 2016-12-06 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9947658B2 (en) * 2015-10-28 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10553465B2 (en) * 2016-07-25 2020-02-04 Lam Research Corporation Control of water bow in multiple stations
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10446681B2 (en) 2017-07-10 2019-10-15 Micron Technology, Inc. NAND memory arrays, and devices comprising semiconductor channel material and nitrogen
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10297611B1 (en) 2017-12-27 2019-05-21 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
US10559466B2 (en) 2017-12-27 2020-02-11 Micron Technology, Inc. Methods of forming a channel region of a transistor and methods used in forming a memory array
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
WO2020185539A1 (en) * 2019-03-12 2020-09-17 Lam Research Corporation Multi-station semiconductor processing with independently adjustable pedestals
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
CN110106550A (zh) * 2019-05-15 2019-08-09 中国电子科技集团公司第十三研究所 一种外延片的制备方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210028093A (ko) * 2019-08-29 2021-03-11 에이에스엠 아이피 홀딩 비.브이. 유전체 층을 포함하는 구조체 및 이를 형성하는 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111900075A (zh) * 2020-06-22 2020-11-06 中国科学院微电子研究所 一种氮化硅膜及其沉积方法、半导体器件
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
FI130211B (fi) * 2020-10-29 2023-04-24 Beneq Oy Puolijohdeseostusmenetelmä ja välivaiheen puolijohdetuote
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11882770B2 (en) 2020-12-10 2024-01-23 International Business Machines Corporation Area-selective deposition of metal nitride to fabricate devices
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220103460A (ko) 2021-01-15 2022-07-22 삼성전자주식회사 반도체 장치
US20220270870A1 (en) * 2021-02-12 2022-08-25 Applied Materials, Inc. Deposition of silicon-based dielectric films
US11538919B2 (en) 2021-02-23 2022-12-27 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
KR20130039769A (ko) * 2008-06-03 2013-04-22 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
KR20130069608A (ko) * 2010-03-31 2013-06-26 도쿄엘렉트론가부시키가이샤 실리콘 및 실리콘 함유 막의 원자층 증착

Family Cites Families (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (ko) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5094984A (en) * 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
US5344454A (en) 1991-07-24 1994-09-06 Baxter International Inc. Closed porous chambers for implanting tissue in a host
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (ko) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH09102494A (ja) 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6399221B1 (en) * 1996-06-25 2002-06-04 Northwestern University Organic light-emitting diodes and methods for assembly and emission control
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) * 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
WO2000055901A1 (en) 1999-03-17 2000-09-21 Semiconductor 300 Gmbh & Co. Kg Method for filling gaps on a semiconductor wafer
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
AU5932500A (en) * 1999-07-22 2001-02-13 Corning Incorporated Extreme ultraviolet soft x-ray projection lithographic method and mask devices
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
AU2003220088A1 (en) 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US20060178019A1 (en) 2002-08-18 2006-08-10 Aviza Technology, Inc. Low temperature deposition of silicon oxides and oxynitrides
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) * 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) * 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) * 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
JP5115798B2 (ja) 2004-09-01 2013-01-09 アクセリス テクノロジーズ インコーポレーテッド フォトレジストの除去速度を増加する装置及びプラズマアッシング方法
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
WO2007043709A1 (ja) 2005-10-14 2007-04-19 Nec Corporation 半導体装置の製造方法およびその製造装置
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007118026A2 (en) 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20090324971A1 (en) 2006-06-16 2009-12-31 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080119098A1 (en) 2006-11-21 2008-05-22 Igor Palley Atomic layer deposition on fibrous materials
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
DE112008000368T5 (de) 2007-02-12 2009-12-24 Lotus Applied Technology, LLC, Beaverton Herstellung von Verbundmaterialien unter Verwendung von Atomschichtabscheidung
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7566627B2 (en) 2007-06-29 2009-07-28 Texas Instruments Incorporated Air gap in integrated circuit inductor fabrication
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI438953B (zh) 2008-01-30 2014-05-21 Osram Opto Semiconductors Gmbh 電子組件之製造方法及電子組件
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
WO2010011974A1 (en) 2008-07-24 2010-01-28 Kovio, Inc. Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) * 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20110008972A1 (en) 2009-07-13 2011-01-13 Daniel Damjanovic Methods for forming an ald sio2 film
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8169024B2 (en) * 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
JP5569153B2 (ja) 2009-09-02 2014-08-13 ソニー株式会社 固体撮像装置およびその製造方法
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP2013515376A (ja) 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP2011166106A (ja) * 2010-01-13 2011-08-25 Renesas Electronics Corp 半導体装置の製造方法及び半導体装置
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
ES2758556T3 (es) 2010-05-21 2020-05-05 Asm Int Nv Celda solar y método de fabricación de la misma
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
WO2012012381A1 (en) 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8440571B2 (en) * 2010-11-03 2013-05-14 Applied Materials, Inc. Methods for deposition of silicon carbide and silicon carbonitride films
KR102098682B1 (ko) 2010-11-10 2020-05-22 나노시스, 인크. 양자 도트 필름들, 조명 디바이스들, 및 조명 방법들
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2012148439A1 (en) 2011-04-25 2012-11-01 William Marsh Rice University Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8575033B2 (en) 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
JP6199292B2 (ja) 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
KR20170124621A (ko) 2011-12-20 2017-11-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8993058B2 (en) 2012-08-28 2015-03-31 Applied Materials, Inc. Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
EP3058401A4 (en) 2013-10-17 2017-05-17 Nanosys, Inc. Light emitting diode (led) devices
KR20210020175A (ko) 2013-11-13 2021-02-23 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI735912B (zh) 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10703915B2 (en) 2016-09-19 2020-07-07 Versum Materials Us, Llc Compositions and methods for the deposition of silicon oxide films
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
KR20130039769A (ko) * 2008-06-03 2013-04-22 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
KR20130069608A (ko) * 2010-03-31 2013-06-26 도쿄엘렉트론가부시키가이샤 실리콘 및 실리콘 함유 막의 원자층 증착

Also Published As

Publication number Publication date
US11011379B2 (en) 2021-05-18
KR102406983B1 (ko) 2022-06-08
TW201603120A (zh) 2016-01-16
US10559468B2 (en) 2020-02-11
US20150249013A1 (en) 2015-09-03
US20180269061A1 (en) 2018-09-20
KR102648013B1 (ko) 2024-03-14
US20160379826A9 (en) 2016-12-29
US20190385850A1 (en) 2019-12-19
KR20220081962A (ko) 2022-06-16
CN112635563A (zh) 2021-04-09
US9997357B2 (en) 2018-06-12
KR102658989B1 (ko) 2024-04-18
CN104882381A (zh) 2015-09-02
TWI682438B (zh) 2020-01-11
KR20150102738A (ko) 2015-09-07

Similar Documents

Publication Publication Date Title
KR102658989B1 (ko) 3차원 ic 트랜지스터들의 핀-형상 채널 영역들을 도핑하기 위한 캡핑된 ald 막들
US10580690B2 (en) Staircase encapsulation in 3D NAND fabrication
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
US10008428B2 (en) Methods for depositing films on sensitive substrates
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
US9355839B2 (en) Sub-saturated atomic layer deposition and conformal film deposition
US8647993B2 (en) Methods for UV-assisted conformal film deposition
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US20110256734A1 (en) Silicon nitride films and methods
US20160329206A1 (en) Methods of modulating residual stress in thin films
KR20210016063A (ko) 금속-함유 하드마스크 박막들의 선택적인 성장
KR20230041972A (ko) 주석 옥사이드를 사용한 발전된 자가 정렬 멀티플 패터닝
WO2023230296A1 (en) Single wafer reactor, low temperature, thermal silicon nitride deposition
WO2023114898A1 (en) Method to smooth sidewall roughness and maintain reentrant structures during dielectric gap fill
WO2024091844A1 (en) Fluorine reduction is silicon-containing films
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant