JP2021507123A - 金属含有膜の生成方法 - Google Patents

金属含有膜の生成方法 Download PDF

Info

Publication number
JP2021507123A
JP2021507123A JP2020554368A JP2020554368A JP2021507123A JP 2021507123 A JP2021507123 A JP 2021507123A JP 2020554368 A JP2020554368 A JP 2020554368A JP 2020554368 A JP2020554368 A JP 2020554368A JP 2021507123 A JP2021507123 A JP 2021507123A
Authority
JP
Japan
Prior art keywords
compound
metal
iii
general formula
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020554368A
Other languages
English (en)
Inventor
ドミニケ シュヴァインフルト,ダフィト
ドミニケ シュヴァインフルト,ダフィト
マイア,ルーカス
フェレナ クレンク,ジンヤ
フェレナ クレンク,ジンヤ
ヴァイグニー,ザビーネ
ハートガー ウィンター,チャールズ
ハートガー ウィンター,チャールズ
ブラケニー,カイル
シリッカトゥゲ,ニランカ ウェーラトゥンガ
シリッカトゥゲ,ニランカ ウェーラトゥンガ
マラワラ アラッチゲ ニマンタカ カルナラトネ,タリンドゥ
マラワラ アラッチゲ ニマンタカ カルナラトネ,タリンドゥ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2021507123A publication Critical patent/JP2021507123A/ja
Priority to JP2024005969A priority Critical patent/JP2024054140A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/069Aluminium compounds without C-aluminium linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/066Aluminium compounds with C-aluminium linkage compounds with Al linked to an element other than Al, C, H or halogen (this includes Al-cyanide linkage)
    • C07F5/067Aluminium compounds with C-aluminium linkage compounds with Al linked to an element other than Al, C, H or halogen (this includes Al-cyanide linkage) compounds with Al also linked to H or halogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/12Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemically Coating (AREA)
  • Chemical Treatment Of Metals (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

本発明は、無機金属含有膜を製造する方法の分野にある。該方法は、固体基材を、気体状態にある一般式(I)、(II)、(III)または(IV):【化1】(式中、AはNR2またはORであり、ここでRは、アルキル基、アルケニル基、アリール基またはシリル基であり、Eは、NRまたはOであり、nは、0、1または2であり、mは、0、1または2であり、R’は、水素、アルキル基、アルケニル基、アリール基またはシリル基である)の化合物と接触させることを含む。

Description

本発明は、無機金属含有膜を基材上に生成する方法の分野、詳細には原子層堆積方法の分野にある。
例えば半導体産業における、小型化の進行に伴い、基材上の、薄い無機膜への必要性が高まっており、その一方で、そのような膜の品質への要求はより厳しくなっている。薄い金属膜は、バリア層、導電性機能またはキャッピング層等の異なる目的に役立つ。金属膜のいくつかの生成方法が知られている。それらのうちの1つは、気体状態からの、基材上の膜形成化合物の堆積である。金属原子を適度な温度で気体状態に持ち込むために、例えば金属を好適な配位子と錯化させることによって揮発性前駆体を提供することが必要である。これらの前駆体は、蒸発にとって十分安定である必要があるが、他方、それらは堆積物の表面と反応するのに十分反応性である必要がある。
EP3121309 A1は、トリス(ジアルキルアミノ)アルミニウム前駆体からの窒化アルミニウム膜を堆積する方法を開示している。しかしながら、該前駆体は、高品質の膜を求める用途には十分安定ではない。
堆積した金属錯体を金属膜に転換するために、堆積した金属錯体を還元剤に曝露することが通常必要である。典型的には、水素ガスが、堆積した金属錯体を金属膜に転換するために使用される。水素は、銅または銀のような比較的貴金属では還元剤としてかなりよく働く一方で、チタンまたはアルミニウム等の、より陽電性の金属では、水素は満足のいく結果を生まない。
WO2013/070702 A1は、還元剤としてジアミンにより配位される水素化アルミニウムを利用して金属膜を堆積する方法を開示している。この還元剤が一般に良好な結果を生む一方で、いくつかの要求の多い用途では、より高い蒸気圧、安定性および/または還元電位が求められる。
EP3121309 A1 WO2013/070702 A1
したがって、膜中に不純物が少ない無機金属含有膜を製造する方法を提供することが本発明の目的であった。該方法の材料は、取り扱いやすいものであるべきであり、具体的には、できるだけ少ない分解を伴ってそれらを蒸発させることが可能であるべきである。さらに、この方法の材料は、該方法の条件下、堆積表面にて分解すべきではないが、同時に、それは、表面反応に関与するのに十分な反応性を有するべきである。すべての反応副生成物は、膜汚染を回避するよう揮発性であるべきである。加えて、この方法の材料中の金属原子が揮発性であるか、または膜中に組み込まれるかのいずれかであるように、この方法を調整することが可能であるべきである。さらに、該方法は、それが陽電性金属膜を含む広範囲の異なる金属を生産することに適することができるように、多用途であるべきである。
これらの目的は、固体基材を、気体状態にある一般式(I)、(II)、(III)または(IV):
Figure 2021507123
(式中、Aは、NRまたはORであり、ここでRは、アルキル基、アルケニル基、アリール基またはシリル基であり、
Eは、NRまたはOであり、
nは、0、1または2であり、mは、0、1または2であり、
R’は、水素、アルキル基、アルケニル基、アリール基またはシリル基である)
の化合物と接触させることを含む、無機金属含有膜を製造する方法によって達成された。
本発明の好ましい実施形態は、本明細書および特許請求の範囲において見出すことができる。異なる実施形態の組み合わせが、本発明の範囲内にある。
本発明による方法は、無機金属含有膜を製造するのに好適である。本発明の関連における無機とは、少なくとも5質量%、好ましくは少なくとも10質量%、より好ましくは少なくとも20質量%、特定すると少なくとも30質量%の少なくとも1種の金属または半金属を含有する材料を指す。無機膜は、典型的には、窒化カーバイド相等の混合カーバイド相を含む、カーバイド相の形態にのみある炭素を含有する。無機膜中のカーバイド相の部分ではない炭素の炭素含有量は、好ましくは5質量%未満、より好ましくは1質量%未満、特定すると0.2質量%未満である。無機金属含有膜の好ましい例は、金属窒化物膜、金属カーバイド膜、金属炭窒化物膜、金属合金膜、金属間化合物膜、またはこれらの混合物を含有する膜である。
本発明による方法によって製造される膜は、金属を含有する。膜が、1種の金属または1種超の金属を含有することが可能である。金属には、Li、Be、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Ge、Rb、Sr、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Biが挙げられる。本発明による方法が金属に関して多用途であるので、金属は、Cuよりも陽電性、より好ましくはNiよりも陽電性であることができる。詳細には、金属含有化合物は、Ti、Ta、Mn、Mo、W、Al、Co、Ga、Ge、SbまたはTeを含有する。
固体基材は、任意の固体材料であることができる。これらには、例えば金属、半金属、酸化物、窒化物およびポリマーが挙げられる。基材が、異なる材料の混合物であることもまた可能である。金属の例は、アルミニウム、鋼、亜鉛および銅である。半金属の例は、ケイ素、ゲルマニウムおよびガリウムヒ素である。酸化物の例は、二酸化ケイ素、二酸化チタンおよび二酸化亜鉛である。窒化物の例は、窒化ケイ素、窒化アルミニウム、窒化チタンおよび窒化ガリウムである。ポリマーの例は、ポリエチレンテレフタレート(PET)、ポリエチレンナフタレン−ジカルボン酸(PEN)およびポリアミドである。
固体基材は、任意の形状を有することができる。これらには、シートプレート、膜、繊維、多様なサイズの粒子、および溝または他の凹みを伴う基材が挙げられる。固体基材は、任意のサイズのものであることができる。固体基材が粒子形状を有する場合、粒径は、100nm未満から数センチメートル、好ましくは1μm〜1mmの範囲であることができる。粒子または繊維が、金属含有化合物がそれらの上に堆積している間に互いに固着することを回避するために、それらを動かし続けておくことが好ましい。これは、撹拌技術により、回転ドラム技術により、または流動床技術により、達成することができる。
本発明によれば、固体基材を、気体相にある一般式(I)、(II)、(III)または(IV)の化合物と接触させる。一般式(I)、(II)、(III)または(IV)の化合物中のR’は、水素、アルキル基、アルケニル基、アリール基またはシリル基であり、好ましくは水素である。R’は、互いに同一であっても異なっていてもよい。好ましくは、すべてのR’は水素である。
アルキル基は、直鎖状または分岐状でありうる。直鎖状アルキル基の例は、メチル、エチル、n−プロピル、n−ブチル、n−ペンチル、n−ヘキシル、n−ヘプチル、n−オクチル、n−ノニル、n−デシルである。分岐状アルキル基の例は、イソ−プロピル、イソ−ブチル、sec−ブチル、tert−ブチル、2−メチル−ペンチル、ネオ−ペンチル、2−エチル−ヘキシル、シクロプロピル、シクロヘキシル、インダニル、ノルボルニルである。好ましくは、アルキル基は、C〜Cアルキル基、より好ましくはC〜Cアルキル基、特定するとC〜Cアルキル基、例えばメチル、エチル、イソ−プロピルまたはtert−ブチルである。
アルケニル基は、少なくとも1つの炭素−炭素二重結合を含有する。二重結合は、R’が分子の残りに結合している炭素原子を含むことができ、または二重結合は、R’が分子の残りに結合している所から離れた場所に配置することができる。アルケニル基は、直鎖状または分岐状でありうる。二重結合が、R’が分子の残りに結合している炭素原子を含む直鎖状アルケニル基の例には、1−エテニル、1−プロペニル、1−n−ブテニル、1−n−ペンテニル、1−n−ヘキセニル、1−n−ヘプテニル、1−n−オクテニルが挙げられる。二重結合が、R’が分子の残りに結合している所から離れた場所に配置されている直鎖状アルケニル基の例には、1−n−プロペン−3−イル、2−ブテン−1−イル、1−ブテン−3−イル、1−ブテン−4−イル、1−ヘキサン−6−イルが挙げられる。二重結合が、R’が分子の残りに結合している炭素原子を含む分岐状アルケニル基の例には、1−プロペン−2−イル、1−n−ブテン−2−イル、2−ブテン−2−イル、シクロペンテン−1−イル、シクロヘキセン−1−イルが挙げられる。二重結合が、R’が分子の残りに結合している所から離れた場所に配置されている分岐状アルケニル基の例には、2−メチル−1−ブテン−4−イル、シクロペンテン−3−イル、シクロヘキセン−3−イルが挙げられる。1つ超の二重結合を有するアルケニル基の例には、1,3−ブタジエン−1−イル、1,3−ブタジエン−2−イル、シクロペンタジエン−5−イルが挙げられる。
アリール基には、芳香族炭化水素、例えばフェニル、ナフタリル、アントラセニル、フェナントレニル基、および複素芳香族基、例えばピリル、フラニル、チエニル、ピリジニル、キノリル、ベンゾフリル、ベンゾチオフェニル、チエノチエニルが挙げられる。これらの基またはこれらの基の組み合わせのうちのいくつか、例えばビフェニル、チエノフェニルまたはフラニルチエニルも可能である。アリール基は、例えば、フルオリド、クロリド、ブロミド、ヨードのようなハロゲンによって、シアニド、シアネート、チオシアネートのような疑ハロゲンによって、アルコールによって、アルキル鎖またはアルコキシ鎖によって、置換することができる。芳香族炭化水素が好ましく、フェニルがより好ましい。
シリル基は、典型的に3つの置換基を有するケイ素原子である。好ましくは、シリル基は、式SiX(式中、Xは、互いに独立に、水素、アルキル基、アリール基またはシリル基である)を有する。すべての3つのXが同一であること、または2つのAが同一で残りのXが異なること、またはすべての3つのXが互いに異なること、好ましくはすべてのXが同一であることが可能である。アルキル基およびアリール基は、上に説明したものである。シリル基の例には、SiH、メチルシリル、トリメチルシリル、トリエチルシリル、トリ−n−プロピルシリル、トリ−イソ−プロピルシリル、トリシクロヘキシルシリル、ジメチル−tert−ブチルシリル、ジメチルシクロヘキシルシリル、メチル−ジ−イソ−プロピルシリル、トリフェニルシリル、フェニルシリル、ジメチルフェニルシリル、ペンタメチルジシリルが挙げられる。
一般式(I)、(II)、(III)または(IV)の化合物中のAは、NRまたはORであり、すなわち2つの置換基Rを有する窒素原子、または1つの置換基Rを有する酸素原子である。Rは、アルキル基、アルケニル基、アリール基またはシリル基である明確に異なる説明がない限り、上に記載したR’についてと同じ定義および好ましい実施形態が当てはまる。好ましくは、Rは、メチル、エチル、tert−ブチルまたはトリメチルシリルである。また好ましくは、AがNRである場合、2つのRは一緒になって、窒素原子を含む5員環を形成し、具体的には2つのRは、窒素原子を含む5員環中の−CH−CH−CH−CH−基である。
一般式(II)または(III)の化合物中のEは、NRまたはOであり、すなわち1つの置換基Rを有する窒素原子、または酸素原子である。Rの定義は、A中のRの定義と同じである。
変数nは、0、1または2であることができ、変数mは、0、1または2であることができ、好ましくは、n+mは、1、2、3または4であり、より好ましくは、nは1または2でありmは1または2であり、さらにより好ましくは、nは1でありmは1であり、またはnは2でありmは2である。
すべてのR’およびRが別個の置換基であることが可能である。代替的に、2つのR’もしくは2つのR、または1つのR’および1つのRが、一緒になって、環、好ましくは4〜8員環、特定すると5員または6員環を形成することが可能である。
一般式(I)の化合物において、一般式(I)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは1であることができる。
一般式(Ia)、(Ib)および(Ic)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(Ia)(式中、2つのR’は、一緒になって環を形成する)の化合物のいくつかの好ましい例を以下に示す。
Figure 2021507123
一般式(I)の化合物において、一般式(I)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nは2であることができ、mは1であることができる。
一般式(Id)、(Ie)、(If)および(Ig)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(I)の化合物において、一般式(I)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは2であることができる。
一般式(Ih)(Ii)および(Ij)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(I)の化合物において、一般式(I)の化合物が、一般式(Ik):
Figure 2021507123
になるように、nは0であることができ、mは1であることができる。
一般式(Ik)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(II)の化合物において、一般式(II)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは1であることができる。
一般式(IIaa)〜(IIah)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(II)の化合物において、一般式(II)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nは2であることができ、mは1であることができる。
一般式(IIba)〜(IIbr)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(I)の化合物において、一般式(I)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは2であることができる。
一般式(IIca)〜(IIch)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(II)の化合物において、一般式(II)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nは0であることができ、mは1または2であることができる。
一般式(IIda)〜(IIdc)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(III)の化合物において、一般式(III)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは1であることができる。
一般式(IIIa)〜(IIIf)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(III)の化合物において、一般式(III)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nは2であることができ、mは1であることができる。
一般式(IIIg)〜(IIIo)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(III)の化合物において、一般式(III)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは2であることができる。
一般式(IIIp)〜(IIIu)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(III)の化合物において、一般式(III)の化合物が、一般式(IIIv):
Figure 2021507123
になるように、nは0であることができ、mは1であることができる。
一般式(IV)の化合物において、一般式(IV)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは1であることができる。
一般式(IVa)〜(IVd)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(IV)の化合物において、一般式(IV)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nは2であることができ、mは1であることができる。
一般式(IVe)〜(IVm)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(IV)の化合物において、一般式(IV)の化合物が、以下の一般式:
Figure 2021507123
のうちの1つになるように、nおよびmは2であることができる。
一般式(IVn)〜(IVo)の化合物の好ましい例を以下に示す。
Figure 2021507123
一般式(IV)の化合物において、一般式(IV)の化合物が、一般式(IVr):
Figure 2021507123
になるように、nは0であることができ、mは2であることができる。
一般式(IVr)の化合物の好ましい例を以下に示す。
Figure 2021507123
好ましくは、EがNRでありまたはAがORである場合、NRまたはOR中のRは、1位に水素原子を有せず、すなわちRは、窒素原子または酸素原子に結合している、そのため、アルミニウム原子に関してβ位にある原子に結合している水素原子を有しない。例は、1位に2つのアルキル側基を有するアルキル基、すなわち1,1−ジアルキルアルキル、例えばtert−ブチル、1,1−ジメチルプロピル;1位に2つのハロゲンを有するアルキル基、例えばトリフルオロメチル、トリクロロメチル、1,1−ジフルオロエチル;トリアルキルシリル基、例えばトリメチルシリル、トリエチルシリル、ジメチル−tert−ブチルシリル;アリール基、特定するとフェニルまたはアルキル置換フェニル、例えば2,6−ジイソプロピルフェニル、2,4,6−トリイソプロピルフェニルである。1位に水素原子を有しないアルキル基が特に好ましい。
一般式(I)、(II)、(III)または(IV)の化合物は、好ましくは、1000g/mol以下、より好ましくは800g/mol以下、さらにより好ましくは600g/mol以下、特定すると500g/mol以下の分子量を有する。
好ましくは、一般式(I)、(II)、(III)または(IV)の化合物は、−80〜125℃、好ましくは−60〜80℃、さらにより好ましくは−40〜50℃、特定すると−20〜20℃の範囲の融点を有する。一般式(I)、(II)、(III)または(IV)の化合物が溶融して透明な液体を付与し、それが分解温度まで変わらないままであることが有利である。
好ましくは、一般式(I)、(II)、(III)または(IV)の化合物は、少なくとも80℃、より好ましくは少なくとも100℃、特定すると少なくとも120℃、例えば少なくとも150℃の分解温度を有する。多くの場合、分解温度は250℃以下である。一般式(I)、(II)、(III)または(IV)の化合物は、高い蒸気圧を有する。好ましくは、蒸気圧は、200℃、より好ましくは150℃、特定すると120℃の温度にて、少なくとも1ミリバールである。通常、蒸気圧が1ミリバールである温度は、少なくとも50℃である。
一般式(I)、(II)、(III)または(IV)の化合物は、有機配位子を、LiAlHまたはAlClとLiAlHとの混合物と反応させることによって合成することができ、これは、例えばN.EmigらによりOrganometallics、第17巻(1998)、3599〜3608頁において、またはB.LuoらによりDalton Transactions、第巻(2006)、4491〜4498頁において開示されている。
それらの合成に含まれる配位子は、一般式(Ia)および(IIaa)の化合物については、LuitjesらによりSyntheic Communications、第24巻(1994)、2257〜2261頁において、(Ib)、(Ie)、(If)および(IVk)については、EP1642880 A1において、(Ic)については、BehloulらによりSynthesis、第8巻(2004)、1274〜1280頁において、(Id)については、米国特許第6299676号において、(Ih)については、LinらによりCatalysis Communication、第111巻(2018)、64〜69頁において、(Ii)については、HauserらによりJournal of the American Chemical Society、第68巻(1946)、1544〜1546頁において、(Ij)については、UtermohlenらによりJournal of the American Chemical Society、第67巻(1945)、1505頁において、(Ik)については、KnierらによりJournal of the American Chemical Society、第22巻(1980)、6789〜6798頁において、(IIab)については、DoegeらによりPharmazie、第62巻(2007)、174〜178頁において、(IIac)については、EP3216786 A1において、(IIad)については、KuetheらによりOrganic Letters、第5巻(2003)、3975〜3978頁において、(IIae)については、EP1505059 A1において、(IIaf)については、HeathcoteらによりDalton Transactions、第13巻(2007)、1309〜1315頁において、(IIag)については、RattayによりPharmazie、第52巻(1997)、676〜679頁において、(IIbb)および(IIcb)については、FelfoldiらによりActa Physica et Chemica、第26巻(1980)、163〜169頁において、(IIbd)については、WO2013/060944において、(IIIi)については、SchloeglらによりMonatshefte fuer Chemie、第95巻(1964)、922〜941頁において、(IIbk)については、LovettらによりJournal of Organic Chemistry、第56巻(1991)、2755〜2758頁において、(IIbr)については、OkanoらによりChemistry Letters、1982、977〜980頁において、(IIch)については、DaleらによりActa Chemica Scandinavica、第46巻(1992)、278〜282頁において、(IIda)については、GrunwaldらによりJournal of the American Chemical Society、第107巻(1985)、4710〜4715頁において、(IIdb)については、BartelsらによりEuropean Journal of Inorganic Chemistry、第10巻(2002)、2569〜2586頁において、(IIdc)については、BertiniらによりHeterocycles、第41巻(1995)、675〜688頁において、(IIIa)については、TuladharらによりTetrahedron Letters、第33巻(1992)、2203〜2206頁において、(IIIb)については、YamamotoらによりChemistry Letters、第52巻(2013)、1559〜1561頁において、(IIIc)については、GeらによりRSC Advances、第4巻(2014)、43195〜43203頁において、(IIId)については、YoshinoらによりChemical Communications、第16巻(2000)、1475〜1476頁において、(IIIe)については、OkuらによりJournal of the American Chemical Society、第126巻(2004)、7368〜7377頁において、(IIIf)については、JadhavらによりTetrahedron Letters、第53巻(2012)、5338〜5342頁において、(IIIh)および(IIIq)については、JiangらによりJournal of Medicinal Chemistry、第54巻(2011)、320〜330頁において、(IIIj)および(IIIr)については、PowelらによりSynthesis、第4巻(1984)、338〜340頁において、(IIIo)については、HassanniaらによりLetters in Organic Chemistry、第6巻(2009)、478〜480頁において、(IIIu)については、BuchananらによりCanadian Journal of Chemistry、第78巻(2000)、3163〜321頁において、(IIIv)については、BalashovらによりRussian Journal of Physical Chemistry、第71巻(1997)、1016〜1019頁において、(IVc)については、LazarusらによりJournal of the Chemical Society,Perkin Transactions 2:Physical Organic Chemistry、1980、373〜379頁において、(IVd)については、NakajimaらによりBulletin of the Chemical Society of Japan、第34巻(1961)、651〜654頁において、(IVg)については、独国特許第2553137号において、(IVh)については、KorshunovらによりZhurnal Organicheskoi Khimii、第11巻(1969)、1947〜1952頁において、(IVr)については、英国特許第1178420号において、開示されている。
本発明による方法において使用される一般式(I)、(II)、(III)または(IV)の化合物は、最良の結果を達成するために、高純度で使用される。高純度は、使用される物質が、少なくとも90質量%、好ましくは少なくとも95質量%、より好ましくは少なくとも98質量%、特定すると少なくとも99質量%の金属含有化合物または一般式(I)、(II)、(III)もしくは(IV)の化合物を含有することを意味する。純度は、DIN 51721(Pruefung fester Brennstoffe−Bestimmung des Gehaltes an Kohlenstoff und Wasserstoff−Verfahren nach Radmacher−Hoverath、2001年8月)による元素分析によって決定することができる。
一般式(I)、(II)、(III)または(IV)の化合物を、気体状態から固体基材と接触させる。それは、例えばそれらを高温に加熱することによって気体状態に持ち込むことができる。いずれの場合でも、一般式(I)、(II)、(III)または(IV)の化合物の分解温度を下回る温度が選ばれなければならない。分解温度は、初期の一般式(I)、(II)、(III)または(IV)の化合物がその化学構造および組成を変更し始める温度である。好ましくは、加熱温度は、0℃〜300℃、より好ましくは10℃〜250℃、さらにより好ましくは20℃〜200℃、特定すると30℃〜150℃の範囲である。
一般式(I)、(II)、(III)または(IV)の化合物を気体状態に持ち込む別の方法は、直接液体注入(DLI)であり、これは、例えば米国特許出願公開第2009/0226612 A1号に記載されている。この方法では、一般式(I)、(II)、(III)または(IV)の化合物は、典型的には、溶媒に溶解され、担体ガスまたは真空において噴霧される。一般式(I)、(II)、(III)または(IV)の化合物の蒸気圧および温度が十分高く、圧力が十分低い場合、一般式(I)、(II)、(III)または(IV)の化合物は気体状態に持ち込まれる。一般式(I)、(II)、(III)または(IV)の化合物が、その溶媒中で十分な可溶性、例えば少なくとも1g/l、好ましくは少なくとも10g/l、より好ましくは少なくとも100g/lの可溶性を示すのであれば、多様な溶媒を使用することができる。これらの溶媒の例は、配位溶媒、例えばテトラヒドロフラン、ジオキサン、ジエトキシエタン、ピリジン、または非配位溶媒、例えばヘキサン、ヘプタン、ベンゼン、トルエンもしくはキシレンである。溶媒混合物もまた好適である。
あるいは、一般式(I)、(II)、(III)または(IV)の化合物は、直接液体蒸発(DLE)によって気体状態に持ち込むことができ、これは、例えばJ.Yangら(Journal of Materials Chemistry、2015)に記載されている。この方法では、一般式(I)、(II)、(III)または(IV)の化合物は、溶媒、例えばテトラデカン等の炭化水素と混合され、該溶媒の沸点未満で加熱される。溶媒の蒸発によって、一般式(I)、(II)、(III)または(IV)の化合物は気体状態に持ち込まれる。この方法は、表面上に微粒子汚染物質が形成されないという利点を有する。
一般式(I)、(II)、(III)または(IV)の化合物を減圧下で気体状態に持ち込むことが好ましい。このようにして、該方法は、通常、一般式(I)、(II)、(III)または(IV)の化合物の分解を減少させるより低い加熱温度で実行することができる。増加した圧力を用いて、気体状態にある一般式(I)、(II)、(III)または(IV)の化合物を、固体基材に向けて押すこともまた可能である。多くの場合、不活性ガス、例えば窒素またはアルゴンが、この目的のために担体ガスとして使用される。好ましくは、圧力は、10バール〜10−7ミリバール、より好ましくは1バール〜10−3ミリバール、特定すると1〜0.01ミリバール、例えば0.1ミリバールである。
好ましくは、一般式(I)、(II)、(III)または(IV)の化合物は、該方法において還元剤として働く。この場合では、金属含有化合物は、気体状態から固体基材上に堆積させ、その後、それを一般式(I)、(II)、(III)または(IV)の化合物と接触させる。金属含有化合物は、通常、金属、金属窒化物、金属カーバイド、金属炭窒化物、金属合金、金属間化合物、またはこれらの混合物に還元される。本発明との関連における金属膜は、電導性の高い、通常少なくとも10S/m、好ましくは少なくとも10S/m、特定すると少なくとも10S/mの電導性を有する金属含有膜である。
一般式(I)、(II)、(III)または(IV)の化合物は、堆積した金属含有化合物を有する固体基材の表面と永久結合を形成する傾向が低い。結果として、金属含有膜は、一般式(I)、(II)、(III)または(IV)の化合物の反応副生成物で汚染されることがほとんどない。好ましくは、金属含有膜は、合計で、5質量%未満、より好ましくは1質量%未満、特定すると0.5質量%未満、例えば0.2質量%未満の窒素を含有する。
金属含有化合物は、少なくとも1個の金属原子を含有する。金属には、Li、Be、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Rb、Sr、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Biが挙げられる。本発明による方法が金属含有化合物に関して非常に多用途であるため、金属含有化合物は、Cuよりも陽電性である金属、より好ましくはNiよりも陽電性である金属を含有することができる。詳細には、金属含有化合物は、Ti、Ta、Mn、Mo、W、Al、Co、Ge、Ga、SbまたはTeを含有する。1種超の金属含有化合物が、同時または連続的に表面上に堆積されることが可能である。1種超の金属含有化合物が固体基材上に堆積される場合、すべての金属含有化合物が同一の金属または異なる金属を含有すること、好ましくはそれらが異なる金属を含有することが可能である。
気体状態に持ち込むことができる任意の金属含有化合物が好適である。これらの化合物には、金属アルキル、例えばジメチル亜鉛、トリメチルアルミニウム;金属アルコキシレート、例えばテトラメトキシシリコン、テトラ−イソプロポキシジルコニウムまたはテトラ−イソ−プロポキシチタン;金属シクロペンタジエニル錯体、例えばペンタメチルシクロペンタジエニル−トリメトキシチタンまたはジ(エチルシクロペンタジエニル)マンガン;金属カルベン、例えばトリス(ネオペンチル)ネオペンチリデンタンタルまたはビスイミダゾリジニリデンルテニウムクロリド;金属ハロゲン化物、例えば三塩化アルミニウム、五塩化タンタル、四塩化チタン、五塩化モリブデンまたは六塩化タングステン;一酸化炭素錯体、例えばヘキサカルボニルクロムまたはテトラカルボニルニッケル;アミン誘導錯体、例えばビス(tert−ブチルイミノ)ビス(ジメチルアミド)モリブデン、ビス(tert−ブチルイミノ)ビス(ジメチルアミド)タングステンまたはテトラキス(ジメチルアミド)チタン;ジケトネート錯体、例えばトリス(アセチルアセトナト)アルミニウムまたはビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナト)マンガンが挙げられる。
金属含有化合物のさらなる例は、アルミニウムトリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、トリイソブチルアルミニウム、トリメチルアルミニウム、トリス(ジメチルアミド)アルミニウム(III)、トリエチルガリウム、トリメチルガリウム、トリス(ジメチルアミド)ガリウム(III)、テトラキス(ジエチルアミド)チタン(IV)、テトラキス(ジメチルアミド)チタン(IV)、テトラキス(エチルメチルアミド)チタン(IV)、チタン(IV)ジイソプロポキシドビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、チタン(IV)イソプロポキシド、四塩化チタン、ビス(シクロペンタジエニル)バナジウム(II)、ビス(エチルシクロペンタジエニル)バナジウム(II)、バナジウム(V)オキシトリイソプロポキシド、ビス(シクロペンタジエニル)クロム(II)、ビス(ペンタメチルシクロペンタジエニル)クロム(II)、クロム(III)トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、銅ビス(6,6,7,7,8,8,8−ヘプタフルオロル−2,2−ジメチル−3,5−オクタンジオネート)、銅ビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、ビス(ペンタメチルシクロペンタジエニル)マンガン(II)、ブロモペンタカルボニルマンガン(I)、シクロペンタジエニルマンガン(I)トリカルボニル、エチルシクロペンタジエニルマンガン(I)トリカルボニル、マンガン(0)カルボニル、[1,1’−ビス(ジフェニルホスフィノ)フェロセン]テトラカルボニルモリブデン(0)、ビス(ペンタメチルシクロペンタジエニル)鉄(II)、1,1’−ジエチルフェロセン、鉄(III)トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、鉄(0)ペンタカルボニル、ビス(シクロペンタジエニル)コバルト(II)、ビス(エチルシクロペンタジエニル)コバルト(II)、ビス(ペンタメチルシクロペンタジエニル)コバルト(II)、アリル(シクロペンタジエニル)ニッケル(II)、ビス(シクロペンタジエニル)ニッケル(II)、ビス(エチルシクロペンタジエニル)ニッケル(II)、ビス(トリフェニルホスフィン)ニッケル(II)ジクロリド、ニッケル(II)ビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、トリス[N,N−ビス(トリメチルシリル)アミド]イットリウム、トリス(ブチルシクロペンタジエニル)イットリウム(III)、トリス(シクロペンタジエニル)イットリウム(III)、イットリウム(III)トリス(イソプロポキシド)、イットリウム(III)トリス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、ビス(シクロペンタジエニル)ニオブ(IV)ジクロリド、ビス(シクロペンタジエニル)ジルコニウム(IV)ジヒドリド、ジメチルビス(ペンタメチルシクロペンタジエニル)ジルコニウム(IV)、テトラキス(ジエチルアミド)ジルコニウム(IV)、テトラキス(ジメチルアミド)ジルコニウム(IV)、テトラキス(エチルメチルアミド)ジルコニウム(IV)、ジルコニウム(IV)2−エチルヘキサノエート、ジルコニウムテトラキス(2,2,6,6−テトラメチル−3,5−ヘプタンジオネート)、ビス(tertブチルシクロペンタジエニル)ジメチルハフニウム(IV)、ビス(トリメチルシリル)アミドハフニウム(IV)クロリド、ジメチルビス(シクロペンタジエニル)ハフニウム(IV)、ハフニウム(IV)tert−ブトキシド、テトラキス(ジエチルアミド)ハフニウム(IV)、テトラキス(ジメチルアミド)ハフニウム(IV)、テトラキス(エチルメチルアミド)ハフニウム(IV)、ペンタキス(ジメチルアミノ)タンタル(V)、タンタル(V)エトキシド、トリス(ジエチルアミド)(tert−ブチルイミド)タンタル(V)、ビス(ブチルシクロペンタジエニル)タングステン(IV)ジヨージド、ビス(tert−ブチルイミノ)ビス(tertブチルアミノ)タングステン、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(VI)、ビス(シクロペンタジエニル)タングステン(IV)ジクロリド、ビス(シクロペンタジエニル)タングステン(IV)ジヒドリド、ビス(イソプロピルシクロペンタジエニル)タングステン(IV)ジヒドリド、シクロペンタジエニルタングステン(II)トリカルボニルヒドリド、テトラカルボニル(1,5−シクロオクタジエン)タングステン(0)、およびトリアミンタングステン(IV)トリカルボニル、タングステンヘキサカルボニル、ビス(ペンタフルオロフェニル)亜鉛、ビス(2,2,6,6−テトラメチル−3,5−ヘプタンジオナト)亜鉛(II)、ジエチル亜鉛、ジフェニル亜鉛、トリメチル(メチルシクロペンタジエニル)白金(IV)、トリエチル(メチルシクロペンタジエニル)白金(IV)、ビス(シクロペンタジエニル)マグネシウム(II)、ビス(ペンタメチルシクロペンタジエニル)マグネシウム、(3−アミノプロピル)トリエトキシシラン、N−sec−ブチル(トリメチルシリル)アミン、クロロペンタメチルジシラン、1,2−ジクロロテトラメチルジシラン、1,3−ジエチル−1,1,3,3−テトラメチルジシラザン、1,2−ジメチル−1,1,2,2−テトラフェニルジシラン、ドデカメチルシクロヘキサシラン、ヘキサメチルジシラン、ヘキサメチルジシラザン、メチルシラン、2,4,6,8,10−ペンタメチルシクロペンタシロキサン、ペンタメチルジシラン、四臭化ケイ素、四塩化ケイ素、テトラエチルシラン、2,4,6,8−テトラメチルシクロテトラシロキサン、1,1,2,2−テトラメチルジシラン、トリス(tert−ブトキシ)シラノール、トリス(tert−ペントキシ)シラノール、ゲルマニウム(IV)フルオリド、ヘキサメチルジゲルマニウム(IV)、ヘキサフェニルジゲルマニウム(IV)、テトラメチルゲルマニウム、トリブチルゲルマニウムヒドリド、トリフェニルゲルマニウムヒドリド、ビス[ビス(トリメチルシリル)アミノ]スズ(II)、ジブチルジフェニルスズ、ヘキサフェニルジスズ(IV)、テトラアリルスズ、テトラキス(ジエチルアミド)スズ(IV)、テトラキス(ジメチルアミド)スズ(IV)、テトラメチルスズ、テトラビニルスズ、スズ(II)アセチルアセトネート、トリメチル(フェニルエチルニル)スズ、およびトリメチル(フェニル)スズ、トリ(エチルオキシ)アンチモン(III)、トリ(ブチルオキシ)アンチモン(III)、((CHN)SbGe(OC、テトラメチルゲルマニウム(IV)、テトラエチルゲルマニウム(IV)、テトラ−n−ブチルゲルマニウム(IV)である。
金属ハロゲン化物が好ましく、金属塩化物がより好ましく、具体的にはTiCl、TaCl、MoCl、WCl、WCl、AlCl、GaCl、GeCl、TeClである。金属含有化合物の分子量が、最大で1000g/mol、より好ましくは最大で800g/mol、特定すると最大で600g/mol、例えば最大で500g/molであることが好ましい。
該方法は、好ましくは、以下の一連の工程、
(a)金属含有化合物を、気体状態から固体基材上に堆積させることと、
(b)堆積した金属含有化合物を有する固体基材を、一般式(I)、(II)、(III、または(IV)の化合物と接触させることと
を含む、原子層堆積(ALD)方法として実行される。好ましくは、(a)と(b)とを含む一連の工程は、少なくとも2回、より好ましくは少なくとも5回、さらにより好ましくは少なくとも10回、特定すると少なくとも50回実行される。多くの場合、(a)と(b)とを含む一連の工程は、1000回以下実行される。
一般に、固体基材が、気体状態にある、金属含有化合物または一般式(I)、(II)、(III)もしくは(IV)の化合物に曝露されるたびに、基材およびその周囲の装置を不活性ガスでパージすることが好ましい。不活性ガスの好ましい例は、窒素およびアルゴンである。パージは、1秒〜1分、好ましくは5〜30秒、より好ましくは10〜25秒、特定すると15〜20秒かかりうる。
好ましくは、基材の温度は、金属含有化合物が気体状態に持ち込まれる所よりも、5℃〜40℃、例えば20℃高い。好ましくは、基材の温度は、室温から400℃、より好ましくは100〜300℃、例えば150〜220℃である。
好ましくは、金属含有化合物を固体基材上に堆積させた後に、かつ堆積した金属含有化合物を有する固体基材を一般式(I)、(II)、(III)または(IV)の化合物と接触させる前に、堆積した金属含有化合物を有する固体基材を、気体相中の酸と接触させる。理論に束縛されることはないが、金属含有化合物の配位子のプロトン化が、その分解および還元を促進すると確信される。好適な酸には、塩酸およびカルボン酸、好ましくはカルボン酸、例えばギ酸、酢酸、プロピオン酸、ブチル酸またはトリフルオロ酢酸、特定するとギ酸が挙げられる。
本発明の方法についての例は、
(i)金属前駆体蒸気を基材に供給して、コーティングされた基材を得ることと、
(ii)コーティングした基材を第1の担体ガスでパージすることと、
(iii)水素化アルミニウム共反応物を、コーティングした基材へ供給することと、次いで
(iv)第2の担体ガスでパージすることと
を含む、金属含有膜を基材上に堆積させる方法であり、
ここで、水素化アルミニウム共反応物は、アルミニウムに結合した1〜3個の水素原子を含む水素化アルミニウムを含む金属錯体であり、(i)〜(iv)は、任意に、1回または複数回繰り返される。金属前駆体は、一般に、金属含有化合物である。水素化アルミニウム共反応物は、一般式(I)、(II)、(III)または(IV)の化合物、好ましくは一般式(I)の化合物、より好ましくは一般式(Ia)の化合物、さらにより好ましくは一般式(Ia)(式中、R’は水素である)の化合物、特定すると一般式(Ia)(式中、R’は、水素であり、Rはメチルである)の化合物である。
あるいは、本発明による方法は、一般式(I)、(II)、(III)または(IV)の化合物からのアルミニウムを堆積させるのに役立ちうる。この場合では、一般式(I)、(II)、(III)または(IV)の化合物は、例えば固体基材の表面上にOH基等の反応性基が存在するために、または固体基材の温度が十分高いために、固体基材の表面に吸着する。好ましくは、一般式(I)、(II)、(III)または(IV)の吸着された化合物は、分解される。
分解は、多様な方法で果たすことができる。固体基材の温度は、分解温度を超えて上げることができる。この場合では、方法は、化学的蒸着(CVD)方法である。典型的には、固体基材は、300〜1000℃の範囲、好ましくは350〜600℃の範囲の温度に加熱される。
さらに、堆積した一般式(I)、(II)、(III)または(IV)の化合物を、プラズマ、例えば酸素プラズマ、水素プラズマ、アンモニアプラズマまたは窒素プラズマに;オキシダント、例えば酸素、酸素ラジカル、オゾン、亜酸化窒素(NO)、一酸化窒素(NO)、二酸化窒素(NO)または過酸化水素に;アンモニアまたはアンモニア誘導体、例えばtert−ブチルアミン、イソ−プロピルアミン、ジメチルアミン、メチルエチルアミンまたはジエチルアミンに;ヒドラジンまたはヒドラジン誘導体、例えばN,N−ジメチルヒドラジンに;溶媒、例えば水、アルカンまたはテトラクロロカーボンに;またはホウ素化合物、例えばボランに、曝露することが可能である。その選択は、所望の層の化学構造に依存する。酸化アルミニウムでは、オキシダント、プラズマまたは水、具体的には酸素、水、酸素プラズマまたはオゾンを使用することが好ましい。アルミニウムでは、窒化物、アンモニア、ヒドラジン、ヒドラジン誘導体、窒素プラズマまたはアンモニウムプラズマが好ましい。ホウ化アルミニウムでは、ホウ素化合物が好ましい。アルミニウムカーバイドでは、アルカンまたはテトラクロロカーボンが好ましい。窒化アルミニウムカーバイドでは、アルカン、テトラクロロカーボン、アンモニアおよび/またはヒドラジンを含む混合物が好ましい。
該方法は、好ましくは、以下の一連の工程、
(c)固体基材を、一般式(I)、(II)、(III)または(IV)の化合物と接触させることと、
(d)吸着された一般式(I)、(II)、(III)または(IV)の化合物を分解することと
を含む原子層堆積(ALD)方法として実行される。好ましくは、(c)と(d)とを含む一連の工程は、少なくとも2回、より好ましくは少なくとも5回、さらにより好ましくは少なくとも10回、特定すると少なくとも50回実行される。多くの場合、(c)と(d)とを含む一連の工程は、1000回以下実行される。
この場合では、基材の温度は、好ましくは、金属含有化合物が気体状態に持ち込まれる所よりも、5℃〜40℃、例えば20℃高い。好ましくは、基材の温度は、室温から400℃、より好ましくは100〜300℃、例えば150〜220℃である。
本発明による方法における基材の温度が金属含有化合物の分解温度を下回って保たれる場合、典型的には、単層が、固体基材上に堆積される。一旦、金属含有化合物の分子が固体基材上に堆積されると、その上へのさらなる堆積は、通常、生じ難くなる。そのため、金属含有化合物の、固体基材上への堆積は、好ましくは、自己限定的な方法の工程を表す。自己限定的な堆積方法の工程の典型的な層厚は、0.01〜1nm、好ましくは0.02〜0.5nm、より好ましくは0.03〜0.4nm、特定すると0.05〜0.2nmである。層厚は、典型的には、PAS1022DE(Referenzverfahren zur Bestimmung von optischen und dielektrischen Materialeigenschaften sowie der Schichtdicke duenner Schichten mittels Ellipsometrie、2004年2月)に記載されている偏光解析によって測定することができる。
基材の、一般式(I)、(II)、(III)もしくは(IV)の化合物または金属含有化合物での曝露は、ミリ秒〜数分、好ましくは0.1秒〜1分、特定すると1〜10秒かけることができる。一般式(I)、(II)、(III)もしくは(IV)の化合物または金属含有化合物の分解温度を下回る温度にて、固体基材が、一般式(I)、(II)、(III)もしくは(IV)の化合物または金属含有化合物に曝露されるのが長いほど、より規則的な、欠陥の少ない膜が形成される。
本発明による方法の具体的な利点は、一般式(I)、(II)、(III)または(IV)の化合物が非常に多用途であり、そのため方法のパラメータが広い範囲で変化することである。したがって、本発明による方法には、CVD方法とALD方法との両方が含まれる。
本発明による方法は、無機金属含有膜を生じる。膜は、金属の唯一の単層であることができ、またはより厚く、例えば0.1nm〜1μm、好ましくは0.5〜50nmであることができる。膜は、穴のような欠陥を含有しうる。しかしながら、これらの欠陥が一般に構成するのは、膜によって被われる表面積の半分未満である。膜は、好ましくは、非常に均一な膜厚を有し、すなわち、基材上の異なる所での膜厚がほとんど異なることがなく、通常10%未満、好ましくは5%未満である。さらに、膜は、好ましくは、基材の表面上の共形膜である。膜厚および均一性を決定する好適な方法は、XPSまたは偏光解析である。
本発明による方法によって得られる膜は、電子素子において使用することができる。電子素子は、多様なサイズ、例えば1nm〜100μm、例えば10nm、14nmまたは22nmの構造的特徴を有することができる。電子素子用の膜を形成する方法は、非常に微細な構造にとって特によく適する。したがって、1μm未満のサイズを有する電子素子が好ましい。電子素子の例は、電界効果トランジスタ(FET)、太陽電池、発光ダイオード、センサーまたはキャパシタである。発光ダイオードまたは光センサー等の光学装置では、本発明による方法によって得られる膜は、光を反射する層の屈折率を上げるのに役立つ。
好ましい電子素子は、トランジスタである。好ましくは、膜は、トランジスタ中の化学的バリア金属として働く。化学的バリア金属は、電気接続性を維持しつつ、隣接している層の拡散を減少させる材料である。
実施例1a:[2−(ジメチルアミノ)エチル](2−メトキシエチル)アミンの合成
2−クロロエチルメチルエーテル(6.092g、0.063mol)とN、N−ジメチルエチレンジアミン(19.382g、0.213mol)と水(5mL)との混合物を、250mL丸底フラスコ中、18時間還流させた。得られた溶液に、ヘキサン(15mL)および水(10mL)を室温で添加した。フラスコの含有物を分離漏斗へ移した。水性画分をヘキサンで洗浄し(14×15mL)、組み合わせた有機画分を無水MgSOで乾燥した。溶媒を減圧下で蒸発させて、鮮明な黄色のオイルを得た(5.513g、収率59.8%)。
H NMR(400MHz,C,ppmでδ):2.04(s,6H)、2.29(t,2H)、2.60(t,2H)、2.71(t,2H)、3.09(s,3H)、3.32(t,2H)。H NMR(400MHz,C,ppmでδ):
13C NMR(100MHz,C,ppmでδ):45.91、48.34、50.35、58.81、60.08、73.15。
実施例1b:AlH[CHOCHCHNCHCHNMe](Ib−1)の合成
Figure 2021507123
AlCl(0.788g、5.9mmol)のジエチルエーテル30mL中の溶液を、LiAlH(0.708g、17.7mmol)のジエチルエーテル30mL中の撹拌溶液中で、氷浴中0℃にてカニューレ処理した。得られた濁った溶液を室温に加温し、40分間撹拌し、−30℃に再冷却した。次いで[2−(ジメチルアミノ)エチル](2−メトキシエチル)アミン(3.458g、23.6mmol)のジエチルエーテル45mL中の溶液を滴下した。得られた混合物を室温で18時間撹拌し、次いで粗いガラスフリット上、セライトの2cmプラグを通してろ過した。ジエチルエーテルを、減圧下、ろ液から蒸発させて、鮮明な黄色の油性生成物を回収した(2.745g、収率66.7%)。粗生成物を減圧下74℃での蒸留によって精製して無色のオイルを得た(1.645g、収率40%)。
H NMR(400MHz,C,ppmでδ):2.12(s,6H)、2.33(t,2H)、2.90(t,2H)、3.03(t,3H)、3.20(s,3H)、3.37(t,2H)。
13C NMR(100MHz,C,ppmでδ):45.51、47.85、49.29、57.91、60.73、74.19。IR:νAlH/cm−1 1764。
実施例2:HAl[N(CHCHCHNMe](Ih−1)の合成
Figure 2021507123
AlCl(0.690g、5.2mmol)のジエチルエーテル40mL中の溶液を、LiAlH(0.621g、15.5mmol)のジエチルエーテル40mL中の撹拌溶液中で、氷浴中0℃にてカニューレ処理した。得られた濁った溶液を室温に加温し、40分間撹拌し、次いで−30℃に冷却した。この時点で、3,3’−イミノビス(N,N−ジメチル−プロピルアミン)(4.003g、20.7mmol)のジエチルエーテル55mL中の溶液を滴下した。得られた混合物を室温で18時間撹拌し、次いで粗いガラスフリット上、セライトの2cmパッドを通してろ過した。ジエチルエーテルを、減圧下、ろ液から蒸発させて、無色の油性生成物を得た(4.003g、収率91%)。得られた生成物の一部(2.043g)を減圧下65℃にて蒸留して無色のオイルを得た(1.604g、収率79%)。
H NMR(400MHz,C,ppmでδ):1.51(p,4H)、2.17(s,12H)、2.36(t,4H)、3.25(t,4H)。
13C NMR(100MHz,C,ppmでδ):28.61、46.76、57.77、60.69。IR:νAlH/cm−1 1691。

Claims (13)

  1. 固体基材を、気体状態にある一般式(I)、(II)、(III)または(IV):
    Figure 2021507123
    (式中、AはNRまたはORであり、ここでRは、アルキル基、アルケニル基、アリール基またはシリル基であり、
    Eは、NRまたはOであり、
    nは、0、1または2であり、mは、0、1または2であり、
    R’は、水素、アルキル基、アルケニル基、アリール基またはシリル基である)
    の化合物と接触させることを含む、無機金属含有膜を製造する方法。
  2. Rが、メチル、エチル、tert−ブチル、トリメチルシリルであり、または2つのRが、一緒になって5員環を形成し、R’が、水素である、請求項1に記載の方法。
  3. EがNRでありまたはAがORである場合、NRまたはOR中のRが1位に水素原子を有しない、請求項1または2に記載の方法。
  4. 金属含有化合物が、気体状態から固体基材上に堆積され、その後、それを一般式(I)、(II)、(III)または(IV)の化合物と接触させる、請求項1から3のいずれか一項に記載の方法。
  5. 金属含有化合物が、Ti、Ta、Mn、Mo、W、Al、Co、Ga、Ge、SbまたはTeを含有する、請求項4に記載の方法。
  6. 金属含有化合物が、金属ハロゲン化物である、請求項4または5に記載の方法。
  7. 一般式(I)、(II)、(III)または(IV)の吸着された化合物が、分解される、請求項1から3のいずれか一項に記載の方法。
  8. 固体基材を一般式(I)、(II)、(III)または(IV)の化合物と接触させることと、金属含有化合物を堆積させることまたは一般式(I)、(II)、(III)もしくは(IV)の吸着された化合物を分解することとを含有する一連の工程が、少なくとも2回実行される、請求項4から7のいずれか一項に記載の方法。
  9. 一般式(I)の化合物が、600g/mol以下の分子量を有する、請求項1から8のいずれか一項に記載の方法。
  10. 一般式(I)の化合物が、200℃の温度にて少なくとも1ミリバールの蒸気圧を有する、請求項1から9のいずれか一項に記載の方法。
  11. 一般式(I)、(II)、(III)または(IV):
    Figure 2021507123
    (式中、AはNRまたはORであり、ここでRは、アルキル基、アルケニル基、アリール基またはシリル基であり、
    Eは、NRまたはOであり、
    nは、0、1または2であり、mは、0、1または2であり、
    R’は、水素、アルキル基、アルケニル基、アリール基またはシリル基であり、
    ここで、少なくとも1つのEまたはAは、酸素を含有し、またはnは2でありもしくはmは2である)
    の化合物。
  12. 一般式(Ib)、(Ic)、(Ih)、(Ij):
    Figure 2021507123
    の化合物である、請求項11に記載の化合物。
  13. R’が、水素であり、Rが、メチル、エチル、tert−ブチルもしくはトリメチルシリルであり、または2つのRが一緒になって5員環を形成する、請求項10または11に記載の化合物。
JP2020554368A 2017-12-20 2018-09-17 金属含有膜の生成方法 Pending JP2021507123A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2024005969A JP2024054140A (ja) 2017-12-20 2024-01-18 金属含有膜の生成方法

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762763125P 2017-12-20 2017-12-20
US62/763,125 2017-12-20
US201862763136P 2018-03-07 2018-03-07
US62/763,136 2018-03-07
PCT/EP2018/075053 WO2019120650A1 (en) 2017-12-20 2018-09-17 Process for the generation of metal-containing films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2024005969A Division JP2024054140A (ja) 2017-12-20 2024-01-18 金属含有膜の生成方法

Publications (1)

Publication Number Publication Date
JP2021507123A true JP2021507123A (ja) 2021-02-22

Family

ID=64270896

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020554368A Pending JP2021507123A (ja) 2017-12-20 2018-09-17 金属含有膜の生成方法
JP2020554370A Pending JP2021507124A (ja) 2017-12-20 2018-11-09 金属含有膜の生成方法
JP2024005969A Pending JP2024054140A (ja) 2017-12-20 2024-01-18 金属含有膜の生成方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020554370A Pending JP2021507124A (ja) 2017-12-20 2018-11-09 金属含有膜の生成方法
JP2024005969A Pending JP2024054140A (ja) 2017-12-20 2024-01-18 金属含有膜の生成方法

Country Status (9)

Country Link
US (3) US11319332B2 (ja)
EP (3) EP3957769A1 (ja)
JP (3) JP2021507123A (ja)
KR (1) KR20200111182A (ja)
CN (2) CN111727272B (ja)
IL (2) IL275283B1 (ja)
SG (2) SG11202005468YA (ja)
TW (2) TWI787353B (ja)
WO (2) WO2019120650A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3957769A1 (en) * 2017-12-20 2022-02-23 Basf Se Process for the generation of metal-containing films
WO2020027552A1 (en) * 2018-07-30 2020-02-06 Up Chemical Co., Ltd. Aluminum compounds and methods of forming aluminum-containing film using the same
EP3795714A1 (en) * 2019-09-17 2021-03-24 Basf Se Process for the generation of aluminum-containing films
JP7159254B2 (ja) * 2020-09-18 2022-10-24 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置
WO2023212652A1 (en) * 2022-04-27 2023-11-02 Impact Nano Llc High purity ligand-al-hydride compositions
WO2024008624A1 (en) * 2022-07-06 2024-01-11 Basf Se Process for preparing of transition metal-containing films

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3520929A (en) 1966-10-19 1970-07-21 Exxon Research Engineering Co Hexafluoro-2-propanol-2-amines
US3892607A (en) * 1967-04-28 1975-07-01 Philips Corp Method of manufacturing semiconductor devices
US3988337A (en) 1974-12-19 1976-10-26 Basf Wyandotte Corporation Substituted triamino-s-triazines
US5874131A (en) * 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US5924012A (en) * 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
KR100246155B1 (ko) * 1997-07-28 2000-04-01 최형수 알루미늄 화학 증착법을 위한 새로운 아마이도 알란 전구체
JP3275825B2 (ja) * 1998-03-06 2002-04-22 株式会社日立製作所 磁気ディスク装置
EP1101755B1 (en) * 1998-07-24 2004-10-06 Teijin Limited Anthranilic acid derivatives
KR100289945B1 (ko) * 1998-09-15 2001-09-17 신현국 알루미늄박막의화학증착용전구체화합물및이의제조방법
JP3625143B2 (ja) 1998-12-28 2005-03-02 大日精化工業株式会社 顔料の分散剤、顔料分散液及びカラーフイルター
US20020013487A1 (en) 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6602356B1 (en) * 2000-09-20 2003-08-05 General Electric Company CVD aluminiding process for producing a modified platinum aluminide bond coat for improved high temperature performance
JP4780866B2 (ja) * 2001-07-23 2011-09-28 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
JP2003252885A (ja) * 2002-01-17 2003-09-10 Shipley Co Llc アルキル第va族金属化合物
US7820255B2 (en) * 2003-05-29 2010-10-26 Konica Minolta Holdings, Inc. Transparent film for display substrate, display substrate using the film and method of manufacturing the same, liquid crystal display, organic electroluminescence display, and touch panel
CN100567242C (zh) 2003-06-27 2009-12-09 协和发酵麒麟株式会社 Hsp90家族蛋白质阻断剂
US7009081B2 (en) 2003-08-04 2006-03-07 Air Products And Chemicals, Inc. N-methylated amines from selective vapor phase amination of amino ether alcohols
US20060088660A1 (en) * 2004-10-26 2006-04-27 Putkonen Matti I Methods of depositing lead containing oxides films
EP1820799A1 (en) 2004-11-10 2007-08-22 Wakamoto Pharmaceutical Co., Ltd. 2,3,4,5-tetrahydro-1h-1,5-benzodiazepine derivative and medicinal composition
NO20045674D0 (no) * 2004-12-28 2004-12-28 Uni I Oslo Thin films prepared with gas phase deposition technique
US20060193984A1 (en) * 2005-02-14 2006-08-31 Peters David W Organoaluminum precursor compounds
CN101155640A (zh) * 2005-02-14 2008-04-02 普莱克斯技术有限公司 有机铝前体化合物
US7348445B2 (en) * 2005-02-14 2008-03-25 Praxair Technology, Inc. Organoaluminum precursor compounds
KR100724084B1 (ko) 2005-11-16 2007-06-04 주식회사 유피케미칼 디알킬아미도디하이드로알루미늄 화합물을 이용한 박막증착방법
JP5062507B2 (ja) * 2006-02-08 2012-10-31 学校法人早稲田大学 アルミナ膜とその製造方法並びに光学装置
DE102006015378A1 (de) * 2006-04-03 2007-10-04 Ludwig-Maximilians-Universität München Verfahren zur Synthese von Organoelementverbindungen
KR100756403B1 (ko) * 2006-05-18 2007-09-10 (주)디엔에프 알루미늄 박막의 화학증착용 전구체 화합물의 제조방법
US7547631B2 (en) * 2006-07-31 2009-06-16 Rohm And Haas Electronic Materials Llc Organometallic compounds
US7959986B2 (en) 2006-08-09 2011-06-14 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
US20100069681A1 (en) 2006-11-27 2010-03-18 Basf Se Process for preparing 3-alkoxypropan-1-ols
US20080300359A1 (en) * 2007-05-15 2008-12-04 Fujifilm Corporation Aqueous coating material composition
KR20100028642A (ko) 2007-07-16 2010-03-12 에프. 호프만-라 로슈 아게 항-암 세포독성 단클론 항체
US7659414B2 (en) * 2007-07-20 2010-02-09 Rohm And Haas Company Method of preparing organometallic compounds
WO2009057058A1 (en) 2007-10-29 2009-05-07 L'air Liquide-Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkaline earth metal containing precursor solutions
DE102007058571B4 (de) * 2007-12-05 2012-02-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrat mit einer Kupfer enthaltenden Beschichtung und Verfahren zu deren Herstellung mittels Atomic Layer Deposition und Verwendung des Verfahrens
JP5615278B2 (ja) 2008-08-14 2014-10-29 ダウ グローバル テクノロジーズ エルエルシー 焼成した金属酸化物−シリカ触媒を使用するメチレンジアニリンとその高級同族体との混合物の製造
JP5477557B2 (ja) 2008-09-09 2014-04-23 高砂香料工業株式会社 エステル又はラクトン類の水素還元によるアルコール類の製造方法
JP5647153B2 (ja) * 2009-02-06 2014-12-24 ダウ グローバル テクノロジーズ エルエルシー アルキルアルミニウムの製造方法
US9822446B2 (en) * 2010-08-24 2017-11-21 Wayne State University Thermally stable volatile precursors
US8632853B2 (en) * 2010-10-29 2014-01-21 Applied Materials, Inc. Use of nitrogen-containing ligands in atomic layer deposition methods
KR101719526B1 (ko) * 2010-11-17 2017-04-04 주식회사 유피케미칼 다이아자다이엔계 금속 화합물, 이의 제조 방법 및 이를 이용한 박막 형성 방법
FR2981860B1 (fr) 2011-10-28 2013-11-08 IFP Energies Nouvelles Solution absorbante a base de monoalcanolamines tertiaires appartenant a la famille des 3-alcoxypropylamines et procede d'elimination de composes acides contenus dans un effluent gazeux
US8927059B2 (en) 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
WO2013123225A1 (en) * 2012-02-17 2013-08-22 Applied Materials, Inc. Passivation film stack for silicon-based solar cells
WO2013188377A1 (en) * 2012-06-11 2013-12-19 Wayne State University Precursors for atomic layer deposition
US9371338B2 (en) * 2012-07-20 2016-06-21 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications
CN104755485B (zh) * 2012-12-25 2017-07-11 株式会社艾迪科 铝化合物、薄膜形成用原料及薄膜的制造方法
US9245740B2 (en) * 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
US9249505B2 (en) * 2013-06-28 2016-02-02 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
CN107075678A (zh) * 2014-01-27 2017-08-18 巴斯夫欧洲公司 产生薄无机膜的方法
US10106888B2 (en) * 2014-08-04 2018-10-23 Basf Se Process for the generation of thin inorganic films
CN105585445B (zh) 2014-10-24 2017-11-21 中国石油化工股份有限公司 1,3‑丙二醇的制备方法
CN105085489B (zh) 2014-11-05 2019-03-01 益方生物科技(上海)有限公司 嘧啶或吡啶类化合物、其制备方法和医药用途
EP3292120B1 (en) 2015-05-04 2019-06-19 H. Hoffnabb-La Roche Ag Tetrahydropyridopyrimidines and tetrahydropyridopyridines as inhibitors of hbsag (hbv surface antigen) and hbv dna production for the treatment of hepatitis b virus infections
US10745808B2 (en) 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
TWI742022B (zh) 2015-11-30 2021-10-11 德商巴斯夫歐洲公司 生成金屬膜的方法
CN105907352B (zh) * 2016-06-24 2019-05-21 江苏景宏新材料科技有限公司 一种碱性干电池标签用热熔压敏胶及其制备方法
KR20190066048A (ko) 2016-10-13 2019-06-12 바스프 에스이 금속-함유 필름의 형성 방법
SG11201902257TA (en) 2016-10-25 2019-05-30 Basf Se Process for the generation of thin silicon-containing films
WO2018108628A1 (en) 2016-12-13 2018-06-21 Basf Se Process for the generation of thin silicon-containing films
EP3957769A1 (en) * 2017-12-20 2022-02-23 Basf Se Process for the generation of metal-containing films
US10633740B2 (en) * 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
WO2020027552A1 (en) * 2018-07-30 2020-02-06 Up Chemical Co., Ltd. Aluminum compounds and methods of forming aluminum-containing film using the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
LIEN, YU-LING、他: "A New Type of Asymmetric Tridentate Pyrrolyl-Linked Pincer Ligand and Its Aluminum Dihydride Complex", INORGANIC CHEMISTRY, vol. 49, no. 1, JPN7022004245, 1 December 2009 (2009-12-01), US, pages 136 - 143, XP055536474, ISSN: 0005016929, DOI: 10.1021/ic9016189 *
LUO, BING、他: "Hydrido and chloro gallium and aluminium complexes with the tridentate bis(2-dimethylaminoethyl)amid", DALTON TRANSACTIONS, JPN7022004246, 24 June 2006 (2006-06-24), GB, pages 4491 - 4498, ISSN: 0005016928 *

Also Published As

Publication number Publication date
WO2019120743A1 (en) 2019-06-27
KR20200111181A (ko) 2020-09-28
TWI787353B (zh) 2022-12-21
SG11202005470SA (en) 2020-07-29
US20210079520A1 (en) 2021-03-18
EP3957769A1 (en) 2022-02-23
CN111727272A (zh) 2020-09-29
IL275283A (en) 2020-07-30
US11319332B2 (en) 2022-05-03
US11655262B2 (en) 2023-05-23
CN111727271B (zh) 2024-04-02
US20220220131A1 (en) 2022-07-14
JP2021507124A (ja) 2021-02-22
TW201930629A (zh) 2019-08-01
CN111727272B (zh) 2023-04-28
WO2019120650A1 (en) 2019-06-27
EP3728688A1 (en) 2020-10-28
TW201930630A (zh) 2019-08-01
IL275284A (en) 2020-07-30
EP3728689A1 (en) 2020-10-28
SG11202005468YA (en) 2020-07-29
KR20200111182A (ko) 2020-09-28
IL275283B1 (en) 2024-03-01
US11505562B2 (en) 2022-11-22
CN111727271A (zh) 2020-09-29
JP2024054140A (ja) 2024-04-16
US20210079025A1 (en) 2021-03-18
EP3728688B1 (en) 2021-11-10
TWI800579B (zh) 2023-05-01

Similar Documents

Publication Publication Date Title
JP2021507123A (ja) 金属含有膜の生成方法
JP5918316B2 (ja) 揮発性ジヒドロピラジニル及びジヒドロピラジン金属錯体
KR100436633B1 (ko) 금속 및 금속 함유 필름 증착용 휘발성 전구체
WO2009094262A1 (en) Organometallic compounds, processes and methods of use
TWI742022B (zh) 生成金屬膜的方法
TWI815904B (zh) 生產含金屬或半金屬薄膜之方法
US20130337192A1 (en) Bis-pyrroles-2-aldiminate manganese precursors for deposition of manganese containing films
KR20180111865A (ko) 얇은 무기 필름의 생성 방법
KR102680700B1 (ko) 금속-함유 필름의 생성 방법
JP2022536111A (ja) 金属又は半金属含有フィルムの生成方法
KR102445367B1 (ko) 합토-3-펜타다이엔일 코발트 또는 니켈 전구체 및 이의 박막 증착 공정에서의 용도
JP2021525832A (ja) 金属または半金属含有フィルムの製造方法
KR20220018546A (ko) 금속 또는 반금속-함유 필름의 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210816

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221206

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230322

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230621

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230926