CN111727271A - 产生含金属膜的方法 - Google Patents

产生含金属膜的方法 Download PDF

Info

Publication number
CN111727271A
CN111727271A CN201880079468.0A CN201880079468A CN111727271A CN 111727271 A CN111727271 A CN 111727271A CN 201880079468 A CN201880079468 A CN 201880079468A CN 111727271 A CN111727271 A CN 111727271A
Authority
CN
China
Prior art keywords
compound
metal
formula
film
compounds
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880079468.0A
Other languages
English (en)
Other versions
CN111727271B (zh
Inventor
L·迈尔
D·D·施魏因富特
D·瓦尔德曼
C·H·温特
K·布莱克尼
S·V·克伦克
S·魏戈尼
N·韦拉滕加西里卡图格
T·M·A·N·卡鲁纳拉特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Wayne State University
Original Assignee
BASF SE
Wayne State University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE, Wayne State University filed Critical BASF SE
Publication of CN111727271A publication Critical patent/CN111727271A/zh
Application granted granted Critical
Publication of CN111727271B publication Critical patent/CN111727271B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/069Aluminium compounds without C-aluminium linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F17/00Metallocenes
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F5/00Compounds containing elements of Groups 3 or 13 of the Periodic Table
    • C07F5/06Aluminium compounds
    • C07F5/061Aluminium compounds with C-aluminium linkage
    • C07F5/066Aluminium compounds with C-aluminium linkage compounds with Al linked to an element other than Al, C, H or halogen (this includes Al-cyanide linkage)
    • C07F5/067Aluminium compounds with C-aluminium linkage compounds with Al linked to an element other than Al, C, H or halogen (this includes Al-cyanide linkage) compounds with Al also linked to H or halogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/12Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Chemically Coating (AREA)
  • Chemical Treatment Of Metals (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

本发明属于制备无机含金属膜的方法的领域。该制备无机含金属膜的方法包括使固体基材与呈气态的通式(I)或(II)化合物接触,式(I),式(II),其中A为NR2或OR,其中R为烷基、链烯基、芳基或甲硅烷基,E为NR或O,n为1、2或3,且R'为氢、烷基、链烯基、芳基或甲硅烷基,其中如果n为2且E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子。

Description

产生含金属膜的方法
本发明属于在基材上产生无机含金属膜的方法,特别是原子层沉积方法的领域。
随着例如在半导体工业中正在进行的小型化的发展,对基材上的无机薄膜的需求增加,同时对该类膜的质量的要求变得更加严格。无机含金属薄膜用于不同的目的,例如阻隔层、传导结构或罩盖层。已知若干产生无机含金属膜的方法。其中之一是将成膜化合物由气态沉积在基材上。为了使金属原子在适中温度下变为气态,必须例如通过使金属与合适的配体络合以提供挥发性前体。这些前体需要对于蒸发足够稳定,但另一方面其必须具有足够的反应性以便与沉积表面反应。
如果需要金属膜作为无机含金属膜,则通常必须使沉积的金属络合物暴露于还原剂。通常,使用氢气来将沉积的金属络合物转化成金属膜。尽管对于较贵金属如铜或银而言,氢气相当好地起到了还原剂的作用,但其对于更电正性的金属如钛或铝而言产生的结果不尽如人意。
US8927059公开了使用氢化铝前体的沉积纯金属和铝合金金属膜。然而,发现这些前体的稳定性不足而无法获得最佳结果。
因此,本发明的目的是提供一种制备膜中具有较少杂质的无机含金属膜的方法。该方法的材料应易于处理;特别地,应可在尽可能少的分解下蒸发。此外,该方法的材料不应在工艺条件下在沉积表面处分解,但同时应具有足够的反应性以参与表面反应。所有反应副产物均应为挥发性的,以避免膜污染。此外,该方法应可调节,以使得该方法的材料中的金属原子是挥发性的或者被引入膜中。此外,该方法应该是通用的,因此其可用于产生大范围的不同金属,包括电正性金属膜。
这些目的通过一种制备无机含金属膜的方法实现,该方法包括使固体基材与呈气态的通式(I)或(II)化合物接触:
Figure BDA0002530429350000021
其中A为NR2或OR,其中R为烷基、链烯基、芳基或甲硅烷基,
E为NR或O,
n为1、2或3,且
R'为氢、烷基、链烯基、芳基或甲硅烷基,
其中如果n为2且E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子。
本发明进一步涉及一种通式(I)或(II)化合物:
Figure BDA0002530429350000022
其中A为NR2或OR,其中R为烷基、链烯基、芳基或甲硅烷基,
E为NR或O,
n为0、1或2,m为0、1或2,且
R'为氢、烷基、链烯基、芳基或甲硅烷基,
其中如果n为2且E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子。
本发明的优选实施方案可参见本说明书和权利要求书。不同实施方案的组合落入本发明的范围内。
本发明的方法适于制备无机含金属膜。在本发明的上下文中,无机含金属是指含有至少5重量%,优选至少10重量%,更优选至少20重量%,特别是至少30重量%的至少一种金属的材料。无机膜通常含有仅呈碳化物相形式的碳,该碳化物相包括混合碳化物相,例如氮化物碳化物相。无机膜中的并非碳化物相一部分的碳的碳含量优选小于5重量%,更优选小于1重量%,特别是小于0.2重量%。无机含金属膜的优选实例为金属氮化物膜、金属碳化物膜、金属碳氮化物膜、金属合金膜、金属间化合物膜或含有其混合物的膜。
通过本发明方法制备的膜含有金属。该膜可含有一种金属或超过一种金属。金属包括Li、Be、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Ge、Rb、Sr、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Bi。由于本发明的方法对于金属而言是通用的,该金属与Cu相比可更具电正性,更优选地与Ni相比更具电正性。特别地,含金属化合物含有Ti、Ta、Mn、Mo、W、Al、Co、Ga、Ge、Sb或Te。
固体基材可为任何固体材料。这些包括例如金属、半金属、氧化物、氮化物和聚合物。基材也可为不同材料的混合物。金属的实例为铝、钢、锌和铜。半金属的实例为硅、锗和砷化镓。氧化物的实例为二氧化硅、二氧化钛和氧化锌。氮化物的实例为氮化硅、氮化铝、氮化钛和氮化镓。聚合物的实例为聚对苯二甲酸乙二醇酯(PET)、聚萘二甲酸乙二醇酯(PEN)和聚酰胺。
固体基材可具有任何形状。这些包括薄片板、膜、纤维、各种尺寸的颗粒,以及具有沟槽或其他压痕的基材。固体基材可具有任何尺寸。如果固体基材具有颗粒形状,则颗粒的尺寸可为低于100nm至数厘米,优选为1μm至1mm。为了避免颗粒或纤维在含金属化合物沉积至其上时彼此粘着,优选使其保持运动。这可例如通过搅拌、通过转鼓或通过流体化床技术来实现。
根据本发明,使固体基材与呈气相的通式(I)或(II)化合物接触。通式(I)或(II)化合物中的R'为氢、烷基、链烯基、芳基或甲硅烷基,优选为氢。R'可彼此相同或不同。优选地,所有R'均为氢。
烷基可为直链或支化的。直链烷基的实例为甲基、乙基、正丙基、正丁基、正戊基、正己基、正庚基、正辛基、正壬基、正癸基。支化烷基的实例为异丙基、异丁基、仲丁基、叔丁基、2-甲基戊基、新戊基、2-乙基己基、环丙基、环己基、2,3-二氢化茚基、降冰片基。优选地,烷基为C1-C8烷基,更优选为C1-C6烷基,特别为C1-C4烷基,例如甲基、乙基、异丙基或叔丁基。
链烯基含有至少一个碳-碳双键。该双键可包括将R'键接至分子的其余部分的碳原子,或其可置于距R'键接至分子的其余部分的位置更远处。链烯基可为直链或支化的。其中双键包括将R'键接至分子的其余部分的碳原子的直链烯基的实例包括1-乙烯基、1-丙烯基、1-正丁烯基、1-正戊烯基、1-正己烯基、1-正庚烯基、1-正辛烯基。其中双键置于距R'键接至分子的其余部分的位置更远处的直链烯基的实例包括1-正丙烯-3-基、2-丁烯-1-基、1-丁烯-3-基、1-丁烯-4-基、1-己烯-6-基。其中双键包括将R'键接至分子的其余部分的碳原子的支化链烯基的实例包括1-丙烯-2-基、1-正丁烯-2-基、2-丁烯-2-基、环戊烯-1-基、环己烯-1-基。其中双键置于距R'键接至分子的其余部分的位置更远处的支化链烯基的实例包括2-甲基-1-丁烯-4-基、环戊烯-3-基、环己烯-3-基。具有超过一个双键的链烯基的实例包括1,3-丁二烯-1-基、1,3-丁二烯-2-基、环戊二烯-5-基。
芳基包括芳族烃,例如苯基、萘基、蒽基、菲基;以及杂芳基,例如吡咯基、呋喃基、噻吩基、吡啶基、喹啉基、苯并呋喃基、苯并噻吩基、噻吩并噻吩基。若干这些基团或这些基团的组合也是可能的,例如联苯基、噻吩并苯基或呋喃基噻吩基。芳基可例如被卤素(如氟、氯、溴、碘);拟卤素(如氰、氰酸酯、硫氰酸酯);醇;烷基链或烷氧基链取代。优选的是芳族烃,更优选是苯基。
甲硅烷基是通常具有3个取代基的硅原子。优选地,甲硅烷基具有式SiX3,其中X彼此独立地为氢、烷基、芳基或甲硅烷基。有可能全部3个X相同或2个A相同且剩余的X不同,或者全部3个X彼此不同,优选全部X相同。烷基和芳基如上文所述。甲硅烷基的实例包括SiH3、甲基甲硅烷基、三甲基甲硅烷基、三乙基甲硅烷基、三正丙基甲硅烷基、三异丙基甲硅烷基、三环己基甲硅烷基、二甲基叔丁基甲硅烷基、二甲基环己基甲硅烷基、甲基二异丙基甲硅烷基、三苯基甲硅烷基、苯基甲硅烷基、二甲基苯基甲硅烷基、五甲基二甲硅烷基。
通式(I)或(II)化合物中的A为NR2或OR,即带有2个取代基R的氮原子或带有1个取代基R的氧原子。R为烷基、链烯基、芳基或甲硅烷基。除非明确地以不同方式描述,否则相同定义和优选实施方案适用上文所描述的R'。优选地,R为甲基、乙基、叔丁基或三甲基甲硅烷基。还优选地,如果A为NR2,则2个R一起形成包括氮原子的五元环,特别地2个R为包括氮原子的五元环中的-CH2-CH2-CH2-CH2-基团。
通式(I)或(II)化合物中的E为NR或O,即带有1个取代基R的氮原子,或氧原子。E中的R的定义与A中的R相同。
变量n可为0、1或2,变量m可为0、1或2;优选地,n+m为1、2、3或4;更优选地,n为1或2且m为1或2;甚至更优选地,n为1且m为1,或n为2且m为2。
有可能全部R'和R为单独的取代基。或者,有可能2个R'或2个R或者1个R'和1个R一起形成环,优选4-8元环,特别地五元环或六元环。
如果n为1,则通式(I)化合物为以下通式之一:
Figure BDA0002530429350000051
通式(Ia)至(Id)化合物的一些优选实例如下所示:
Figure BDA0002530429350000052
Figure BDA0002530429350000061
如果n为2,则通式(I)化合物为以下通式之一:
Figure BDA0002530429350000062
通式(Ie)至(Ih)化合物的一些优选实例如下所示:
Figure BDA0002530429350000071
如果n为3,则通式(I)化合物为以下通式之一:
Figure BDA0002530429350000081
通式(Ii)至(Im)化合物的一些优选实例如下所示:
Figure BDA0002530429350000082
Figure BDA0002530429350000091
如果n为1,则通式(II)化合物为以下通式之一:
Figure BDA0002530429350000092
通式(IIa)至(IIc)化合物的一些优选实例如下所示:
Figure BDA0002530429350000101
如果n为2,则通式(II)化合物为以下通式之一:
Figure BDA0002530429350000102
通式(IId)至(IIf)化合物的一些优选实例如下所示:
Figure BDA0002530429350000111
如果n为3,则通式(II)化合物为以下通式之一:
Figure BDA0002530429350000112
通式(IIg)至(IIi)化合物的一些优选实例如下所示:
Figure BDA0002530429350000113
Figure BDA0002530429350000121
通式(I)或(II)化合物通常以液相和固相,有时还至少部分地以气相形式形成二聚体。这些二聚体处于本发明的范围内。
根据本发明,如果n为2且E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子;优选地与n无关,如果E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子;更优选地NR或OR中的全部R在1位不带有氢原子,即R不带有键接至原子的氢原子,该原子键接至氮或氧原子,该氮或氧原子因此相对于铝原子处于β位。实例为在1位带有两个烷基侧基的烷基,即1,1-二烷基烷基,例如叔丁基、1,1-二甲基丙基;在1位具有两个卤素的烷基,例如三氟甲基、三氯甲基、1,1-二氟乙基;三烷基甲硅烷基,例如三甲基甲硅烷基、三乙基甲硅烷基、二甲基叔丁基甲硅烷基;芳基,特别是苯基或烷基取代的苯基,例如2,6-二异丙基苯基、2,4,6-三异丙基苯基。特别优选的是在1位不带有氢原子的烷基。
通式(I)或(II)化合物优选具有不大于1000g/mol,更优选不大于800g/mol,甚至更优选不大于600g/mol,特别是不大于500g/mol的分子量。
优选地,通式(I)或(II)化合物的熔点为-80至125℃,优选为-60至80℃,甚至更优选为-40至50℃,特别为-20至20℃。有利的是,通式(I)或(II)化合物熔融,从而得到直至分解温度仍保持不变的透明液体。
优选地,通式(I)或(II)化合物具有至少80℃,更优选至少100℃,特别地至少120℃,例如至少150℃的分解温度。通常,分解温度不大于250℃。通式(I)或(II)化合物具有高蒸气压。优选地,蒸气压在200℃的温度下,更优选150℃下,特别地在120℃下为至少1毫巴。通常,蒸气压为1毫巴时的温度为至少50℃。
通式(I)或(II)化合物可通过使有机配体与AlH3、LiAlH4或AlH3(N(CH3)3)反应而合成,优选在液态烃或醚中反应。烃包括芳族烃,例如甲苯、乙苯、二甲苯和异丙苯;以及脂族烃,例如环己烷、己烷、甲基环己烷和庚烷。醚的实例包括乙醚,甲醚,四氢呋喃,单乙二醇、二甘醇、三甘醇和四甘醇二甲醚,优选为乙醚。
配体(包括其合成)例如对于通式(Ib)化合物,公开在US2002/013487A1中;对于通式(Ic)化合物,公开在Underhill等,Journal of the American Chemical Society,第71卷(1949),第4014-4019页中;对于通式(Id)化合物,公开在Heaton等,Journal of theAmerican Chemical Society,Dalton Transactions:Inorganic Chemistry (1972-1999),1996,第61至68页中;对于通式(Ie)和(Ii)化合物,公开在Popr等,Journal ofOrganic Chemistry,第10卷(2014),第1390-1396页中;对于通式(If)化合物,公开在Afonso等,Molecules,第11卷(2006),第91-102页中;对于通式(Ig)化合物,公开在Rosenau等,Synthetic Communications中,第32卷(2002),第457-466页中;对于通式(Ih)化合物,公开在Spasyuk等,Organometallics,第31卷(2012),第5239-5242页中;对于通式(Ii)化合物,公开在WO2016/177655A1中;对于通式(Ik)化合物,公开在US2010/063294A1中;对于通式(Im)化合物,公开在US2010/069681中;对于通式(IIa)化合物,公开在WO2010/019844A1中;对于通式(IIb)化合物,公开在Cheng等,Journal of Chemical Research,1983,第1101-1113页中;对于通式(IId)化合物,公开在Cortes等,Journal of OrganicChemistry,第48卷(1983),第2246-2254页中;对于通式(IIe)化合物,公开在Ram等,Synthetic Communications,第17卷(1987),第415-418页中;对于通式(IIg)化合物,公开在Dale等,Acta Chemica Scandinavica,第45卷(1991), 第1064-1070页中; 对于通式(IIh)化合物,公开在WO2006/051851A1中;对于通式(IIi)化合物,公开在CN105585445A中。
本发明方法中所用的通式(I)或(II)化合物以高纯度使用以获得最佳结果。高纯度意指所用的物质含有至少90重量%,优选至少95重量%,更优选至少98重量%,特别地至少99重量%的含金属化合物或通式(I)或(II)化合物。纯度可通过根据DIN 51721(Prüfungfester Brennstoffe-Bestimmung des Gehaltes an Kohlenstoff und Wasserstoff-Verfahren nach Radmacher-Hoverath,2001年8月)的元素分析来测定。
使通式(I)或(II)化合物自气态与固体基材接触。可例如通过将其加热至高温而使其变为气态。在任何情况下,必须选择低于通式(I)或(II)化合物的分解温度的温度。分解温度是原始通式(I)或(II)化合物开始改变其化学结构和组成时的温度。优选地,加热温度范围为0-300℃,更优选为10-250℃,甚至更优选为20-200℃,特别为30-150℃。
使通式(I)或(II)化合物变为气态的另一种方式为直接液体注入(DLI),例如如US2009/0226612A1所述。在该方法中,通常将通式(I)或(II)化合物溶解在溶剂中且喷洒在载气或真空中。如果通式(I)或(II)化合物的蒸气压和温度足够高且压力足够低,则通式(I)或(II)化合物变为气态。可使用各种溶剂,条件是通式(I)或(II)化合物在该溶剂中显示出足够的溶解度,例如至少1g/l,优选至少10g/l,更优选至少100g/l。这些溶剂的实例为配位溶剂,例如四氢呋喃、二噁烷、二乙氧基乙烷、吡啶;或非配位溶剂,例如己烷、庚烷、苯、甲苯或二甲苯。溶剂混合物也为合适的。
或者,通式(I)或(II)化合物可通过直接液体蒸发(DLE)变为气态,例如如J.Yang等(Journal of Materials Chemistry,2015)所述。在该方法中,将通式(I)或(II)化合物与溶剂(例如烃,例如十四烷)混合,且在低于溶剂的沸点下加热。通过蒸发溶剂,通式(I)或(II)化合物变为气态。该方法具有不在表面上形成无颗粒污染物的优点。
优选在减小的压力下使通式(I)或(II)化合物变为气态。以此方式,该方法可通常在较低的加热温度下实施,从而导致通式(I)或(II)化合物的分解减少。也可使用增大的压力将呈气态的通式(I)或(II)化合物推向固体基材。通常,出于此目的,使用惰性气体(例如氮气或氩气)作为载气。优选地,压力为10巴至10-7毫巴,更优选为1巴至10-3毫巴,特别为1-0.01毫巴,例如0.1毫巴。
优选地,通式(I)或(II)化合物在该方法中充当还原剂。在这种情况下,使含金属化合物由气态沉积至固体基材上,随后使其与通式(I)或(II)化合物接触。该含金属化合物通常被还原成金属、金属氮化物、金属碳化物、金属碳氮化物、金属合金、金属间化合物或其混合物。本发明上下文中的金属膜是具有高电导率(通常至少104S/m,优选至少105S/m,特别是至少106S/m)的含金属膜。
通式(I)或(II)化合物与具有沉积的含金属化合物的固体基材表面形成永久结合的倾向性低。因此,含金属膜几乎不会被通式(I)或(II)化合物的反应副产物污染。优选地,含金属膜含有总共小于5重量%,更优选小于1重量%,特别是小于0.5重量%,例如小于0.2重量%的氮。
含金属化合物含有至少一个金属原子。金属包括Li、Be、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Rb、Sr、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Bi。由于本发明的方法对于所述含金属化合物而言非常适用,因此该含金属化合物可含有比Cu更具电正性,更优选地比Ni更具电正性的金属。特别地,含金属化合物含有Ti、Ta、Mn、Mo、W、Al、Co、Ge、Ga、Sb或Te。可将超过一种含金属化合物同时或连续地沉积到表面上。如果将超过一种含金属化合物沉积至固体基材上,则所有含金属化合物可含有相同金属或不同金属;优选地,其含有不同金属。
可变为气态的任何含金属化合物都是合适的。这些化合物包括:烷基金属,例如二甲基锌、三甲基铝;烷氧基化金属,例如四甲氧基硅、四异丙氧基锆或四异丙氧基钛;环戊二烯基金属络合物,例如五甲基环戊二烯基-三甲氧基钛或二(乙基环戊二烯基)锰;金属卡宾,例如三(新戊基)亚新戊基钽或双咪唑烷亚基氯化钌;金属卤化物,例如三氯化铝、五氯化钽、四氯化钛、五氯化钼或六氯化钨;一氧化碳络合物,例如六羰基铬或四羰基镍;胺衍生的络合物,例如双(叔丁基亚胺基)双(二甲基酰胺基)钼、双(叔丁基亚胺基)双(二甲基酰胺基)钨或四(二甲基酰胺基)钛;二酮络合物,例如三(乙酰丙酮)铝或双(2,2,6,6-四甲基-3,5-庚二酮)锰。
含金属化合物的其他实例为三(2,2,6,6-四甲基-3,5-庚二酮)铝、三异丁基铝、三甲基铝、三(二甲基酰胺基)铝(III)、三乙基镓、三甲基镓、三(二甲基酰胺基)镓(III)、四(二乙基酰胺基)钛(IV)、四(二甲基酰胺基)钛(IV)、四(乙基甲基酰胺基)钛(IV)、二异丙醇双(2,2,6,6-四甲基-3,5-庚二酮)钛(IV)、异丙醇钛(IV)、四氯化钛、双(环戊二烯基)钒(II)、双(乙基环戊二烯基)钒(II)、氧基三异丙醇钒(V)、双(环戊二烯基)铬(II)、双(五甲基环戊二烯基)铬(II)、三(2,2,6,6-四甲基-3,5-庚二酮)铬(III)、双(6,6,7,7,8,8,8-七氟-2,2-二甲基-3,5-辛二酮)铜、双(2,2,6,6-四甲基-3,5-庚二酮)铜、双(五甲基环戊二烯基)锰(II)、溴代五羰基锰(I)、三羰基环戊二烯基锰(I)、三羰基乙基环戊二烯基锰(I)、羰基锰(0)、[1,1'-双(二苯基膦基)二茂铁]四羰基钼(0)、双(五甲基环戊二烯基)铁(II)、1,1'-二乙基二茂铁、三(2,2,6,6-四甲基-3,5-庚二酮)铁(III)、五羰基铁(0)、双(环戊二烯基)钴(II)、双(乙基环戊二烯基)钴(II)、双(五甲基环戊二烯基)钴(II)、烯丙基(环戊二烯基)镍(II)、双(环戊二烯基)镍(II)、双(乙基环戊二烯基)镍(II)、双(三苯基膦)二氯化镍(II)、双(2,2,6,6-四甲基-3,5-庚二酮)镍(II)、三[N,N-双(三甲基甲硅烷基)酰胺]钇、三(丁基环戊二烯基)钇(III)、三(环戊二烯基)钇(III)、三(异丙醇)钇(III)、三(2,2,6,6-四甲基-3,5-庚二酮)钇(III)、双(环戊二烯基)二氯化铌(IV)、双(环戊二烯基)二氢化锆(IV)、二甲基双(五甲基环戊二烯基)锆(IV)、四(二乙基酰胺基)锆(IV)、四(二甲基胺基)锆(IV)、四(乙基甲基胺基)锆(IV)、2-乙基己酸锆(IV)、四(2,2,6,6-四甲基-3,5-庚二酮)锆、双(叔丁基环戊二烯基)二甲基铪(IV)、双(三甲基甲硅烷基)胺基氯化铪(IV)、二甲基双(环戊二烯基)铪(IV)、叔丁醇铪(IV)、四(二乙基酰胺基)铪(IV)、四(二甲基酰胺基)铪(IV)、四(乙基甲基酰胺基)铪(IV)、五(二甲基胺基)钽(V)、乙醇钽(V)、三(二乙基酰胺基)(叔丁基亚胺基)钽(V)、双(丁基环戊二烯基)二碘化钨(IV)、双(叔丁基亚胺基)双(叔丁基胺基)钨、双(叔丁基亚胺基)双(二甲基胺基)钨(VI)、双(环戊二烯基)二氯化钨(IV)、双(环戊二烯基)二氢化钨(IV)、双(异丙基环戊二烯基)二氢化钨(IV)、三羰基环戊二烯基氢化钨(II)、四羰基(1,5-环辛二烯)钨(0)、三羰基三胺基钨(IV)、六羰基钨、双(五氟苯基)锌、双(2,2,6,6-四甲基-3,5-庚二酮)锌(II)、二乙基锌、二苯基锌、三甲基(甲基环戊二烯基)铂(IV)、三乙基(甲基环戊二烯基)铂(IV)、双(环戊二烯基)镁(II)、双(五甲基环戊二烯基)镁、(3-胺基丙基)三乙氧基硅烷、N-仲丁基(三甲基甲硅烷基)胺、氯五甲基二硅烷、1,2-二氯四甲基二硅烷、1,3-二乙基-1,1,3,3-四甲基二硅氮烷、1,2-二甲基-1,1,2,2-四苯基二硅烷、十二甲基环己硅烷、六甲基二硅烷、六甲基二硅氮烷、甲基硅烷、2,4,6,8,10-五甲基环戊硅氧烷、五甲基二硅烷、四溴化硅、四氯化硅、四乙基硅烷、2,4,6,8-四甲基环四硅氧烷、1,1,2,2-四甲基二硅烷、三(叔丁氧基)硅烷醇、三(叔戊氧基)硅烷醇、氟化锗(IV)、六甲基二锗(IV)、六苯基二锗(IV)、四甲基锗、三丁基氢化锗、三苯基氢化锗、双[双(三甲基甲硅烷基)胺基]锡(II)、二丁基二苯基锡、六苯基二锡(IV)、四烯丙基锡、四(二乙基酰胺基)锡(IV)、四(二甲基酰胺基)锡(IV)、四甲基锡、四乙烯基锡、乙酰丙酮锡(II)、三甲基(苯基乙炔基)锡、三甲基(苯基)锡、三(乙氧基)锑(III)、三(丁氧基)锑(III)、((CH3)2N)3SbGe(OC2H5)4、四甲基锗(IV)、四乙基锗(IV)、四正丁基锗(IV)。
优选的是金属卤化物,更优选的是金属氯化物,特别是TiCl4、TaCl5、MoCl5、WCl5、WCl6、AlCl3、GaCl3、GeCl4、TeCl4。优选地,含金属化合物的分子量为至多1000g/mol,更优选至多800g/mol,特别是至多600g/mol,例如至多500g/mol。
所述方法优选作为原子层沉积(ALD)方法实施,包含以下工序:
(a)将含金属化合物由气态沉积至固体基材上,及
(b)使具有沉积的含金属化合物的固体基材与通式(I)或(II)化合物接触。优选地,包括(a)和(b)的工序实施至少2次,更优选至少5次,甚至更优选至少10次,特别是至少50次。通常,包括(a)和(b)的工序实施不超过1000次。
通常,优选的是每当将固体基材暴露于呈气态的含金属化合物或通式(I)或(II)化合物时,用惰性气体吹扫基材及其周围的装置。惰性气体的优选实例为氮气和氩气。吹扫可耗时1秒钟至1分钟,优选为5-30秒,更优选为10-25秒,特别为15-20秒。
优选地,基材的温度比含金属化合物变为气态时的温度高5-40℃,例如20℃。优选地,基材的温度为室温至450℃,更优选为100-400℃,特别为120-300℃,例如150-220℃。
优选地,在将含金属化合物沉积在固体基材上之后且在使具有沉积的含金属化合物的固体基材与通式(I)或(II)化合物接触之前,使具有沉积的含金属化合物的固体基材与呈气相的酸接触。不受理论所束缚,据信含金属化合物的配体的质子化有助于其分解和还原。合适的酸包括盐酸和羧酸,优选地羧酸,例如甲酸、乙酸、丙酸、丁酸或三氟乙酸,特别地甲酸。
本发明方法的实例为一种将含金属膜沉积在基材上的方法,包括:
(i)将金属前体蒸气供应至基材以获得经涂布的基材;
(ii)用第一载气吹扫经涂布的基材;
(iii)将氢化铝共反应物供应至经涂布的基材;然后
(iv)用第二载气吹扫,
其中氢化铝共反应物为金属络合物,包括含1-3个键接至铝的氢原子的氢化铝,且
其中(i)至(iv)任选重复一或多次。金属前体通常为含金属化合物。氢化铝共反应物为通式(I)或(II)化合物,优选为通式(I)化合物,更优选为通式(Ia)化合物,甚至更优选为其中R'为氢的通式(Ia)化合物,特别为其中R'为氢且R为甲基的通式(Ia)化合物。
或者,本发明的方法可用于由通式(I)或(II)化合物沉积铝。在这种情况下,例如因为固体基材的表面上存在反应性基团如OH基或固体基材的温度足够高,因此通式(I)或(II)化合物吸附至固体基材的表面。优选地,吸附的通式(I)或(II)化合物发生分解。
分解可以以各种方式实现。可将固体基材的温度提高至高于分解温度。在这种情况下,所述方法是化学气相沉积(CVD)方法。通常,将固体基材加热至300-1000℃,优选350-600℃的温度。
此外,可将沉积的通式(I)或(II)化合物暴露于等离子体,如氧等离子体、氢等离子体、氨等离子体或氮等离子体;氧化剂,如氧气、氧自由基、臭氧、氧化亚氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)或过氧化氢;氨或氨衍生物,例如叔丁胺、异丙胺、二甲胺、甲基乙基胺或二乙胺;肼或肼衍生物,如N,N-二甲基肼;溶剂,如水、烷烃或四氯化碳;或硼化合物,如硼烷。该选择取决于所需层的化学结构。对于氧化铝,优选使用氧化剂、等离子体或水,特别是氧气、水、氧等离子体或臭氧。对于铝,优选的是氮化物、氨、肼、肼衍生物、氮等离子体或氨等离子体。对于硼化铝,优选的是硼化合物。对于碳化铝,优选的是烷烃或四氯化碳。对于碳化氮化铝,优选的是包括烷烃、四氯化碳、氨和/或肼的混合物。
所述方法优选作为原子层沉积(ALD)方法实施,包括以下工序:
(c)使固体基材与通式(I)或(II)化合物接触,和
(d)使吸附的通式(I)或(II)化合物分解。优选地,包括(c)和(d)的工序实施至少2次,更优选至少5次,甚至更优选至少10次,特别是至少50次。通常,包括(c)和(d)的工序实施不超过1000次。
在这种情况下,基材的温度优选比含金属化合物变为气态时的温度高5-40℃,例如20℃。优选地,基材的温度为室温至450℃,更优选为100-400℃,特别为120-300℃,例如150-220℃。
如果在本发明的方法中,基材的温度保持低于含金属化合物的分解温度,则通常将单层沉积在固体基材上。一旦含金属化合物的分子沉积在固体基材上,则在其顶部上的进一步沉积通常变得不太可能。因此,含金属化合物在固体基材上的沉积优选地代表了一个自限性工艺步骤。自限性沉积工艺步骤的典型层厚为0.01-1nm,优选为0.02-0.5nm,更优选为0.03-0.4nm,特别为0.05-0.2nm。层厚通常通过如PAS 1022 DE(Referenzverfahrenzur Bestimmung von optischen und dielektrischen Materialeigenschaften sowieder Schichtdicke dunner Schichten mittels Ellipsometrie;2004年2月)所述的椭圆偏振法测量。
具有通式(I)或(II)化合物或含金属化合物的基材的暴露可耗时数毫秒至数分钟,优选为0.1秒至1分钟,特别为1-10秒。固体基材在低于通式(I)或(II)化合物或含金属化合物的分解温度的温度下暴露于通式(I)或(II)化合物或含金属化合物的时间越长,则形成的膜就越规整,且具有越少的缺陷。
本发明方法的特定优点是:通式(I)或(II)化合物非常通用,因此工艺参数可在宽范围内变化。因此,本发明的方法包括CVD方法以及ALD方法。
本发明的方法产生无机含金属膜。膜可为金属的仅一个单层或更厚,例如0.1nm至1μm,优选0.5-50nm。膜可含有缺陷如孔。然而,这些缺陷通常占膜覆盖表面积的小于一半。膜优选具有非常均一的膜厚,这意味着在基材上的不同位置处的膜厚变化非常小,通常低于10%,优选低于5%。此外,膜优选为基材表面上的保形膜。测定膜厚和均一性的合适方法是SEM/TEM或椭圆偏振法。
通过本发明方法获得的膜可用于电子元件中。电子元件可具有各种尺寸,例如1nm至100μm,例如10nm、14nm或22nm的结构特征。形成用于电子元件的膜的方法特别适于非常精细的结构。因此,优选的是具有小于1μm尺寸的电子元件。电子元件的实例是场效应晶体管(FET)、太阳能电池、发光二极管、传感器或电容器。在光学器件如发光二极管或感光器中,通过本发明方法获得的膜用以提高反射光的层的折射率。
优选的电子元件是晶体管。优选地,膜充当晶体管中的化学阻隔金属。化学阻隔金属是降低相邻层的扩散,同时保持电连接性的材料。
或者,在本文所述的方法中,可使用通式(III)或(IV)化合物代替通式(I)或(II)化合物。适用R和R'及如上述方法的其余部分的相同定义和优选实施方案。
Figure BDA0002530429350000201
通式(III)化合物的实例如下:
Figure BDA0002530429350000202
附图简介
图1显示了化合物(Ie-1)、(Ie-5)和(III-1)的热解重量分析(TGA)。
图2显示了化合物(Ie-5)的X射线晶体结构。
图3左上方显示了化合物(Ie-1)的生长速率和体积电阻率与脉冲长度的关系。图3右上方显示了TiCl4的生长速率和电阻率与脉冲长度的关系。图3左下方显示了生长速率和电阻率与温度的关系。图3右下方显示了膜厚与循环次数的关系。
图4显示了在280℃(底部)和400℃(顶部)下所沉积的TiCxNy膜的GI-XRD图谱。
图5显示了在250次ALD循环之后由WCl6和化合物(Ie-1)沉积的膜的生长速率和膜电阻率与温度的关系。
图6显示了在AlCl3和化合物(Ie-1)的125次ALD循环之后在120℃下沉积在Cu基材上的42nm Al膜的横截面SEM照片。
图7显示了在1000次ALD循环之后在120℃下沉积在热氧化物(在Si上为100nm)上的400nm厚Al膜的GI-XRD图谱。
实施例
实施例1a:化合物(Ie-1)的配体的合成
Figure BDA0002530429350000211
向250mL圆底烧瓶中装入2-氯-N,N-二甲基乙基胺盐酸盐(25.0g,0.175mol)、叔丁胺(115mL,1.1mol,6.3当量)、水(5mL),且在70℃下加热至温和回流达18小时。在冷却至环境温度后,添加己烷和水(各自40mL)并将其转移至分液漏斗中。将水性级分用己烷(3×20mL)洗涤并将合并的己烷级分用盐水洗涤,经MgSO4干燥,在减压下蒸发以得到透明无色油(10.898g,43%)。常规地使用分析纯产物而不进一步纯化,但其可通过在65℃,18托下真空蒸馏来纯化。
1H NMR(400MHz,C6D6)δ=2.56(t,2H)、2.34(t,2H)、2.06(s,6H)、1.29(bs,1H)、1.06(s,9H)
13C NMR(100MHz,C6D6)δ=60.52、50.00、45.74、40.53、29.61实施例1b:化合物(Ie-1)的合成
Figure BDA0002530429350000212
向250mL Schlenk烧瓶中装入LiAlH4(0.854g,22.5mmol)、乙醚(70mL),并在冰浴上冷却至0℃。向单独的100mL Schlenk烧瓶中装入AlCl3(1.000g,7.5mmol)和乙醚(50mL)。将AlCl3溶液经套管加入LiAlH4溶液中,将所得混浊溶液在环境温度下搅拌30分钟。将混合物冷却至-30℃并添加1-叔丁胺基-2-二甲基胺基乙烷(3.934g,27.3mmol)在乙醚(25mL)中的溶液。将所得混合物在环境温度下搅拌4小时,随后将其经由硅藻土过滤并在减压下蒸发。当大部分乙醚蒸发时,将烧瓶在冰浴上冷却以固化低熔点产物(3.345g,71%)。
熔点:31-32℃
1H NMR(600MHz,C6D6)δ=4.52(bs,2H)、2.73(t,2H)、2.15(t,2H)、1.83(s,6H)、1.35(s,9H)
13C NMR(150MHz,C6D6)δ=61.62、51.25、44.83、41.73、30.52
IR(ATR)v/cm-1=3001、2961、2895、2853、2812、1852、1782、1728、1485、1462、1429、1406、1383、1352、1342、1290、1238、1223、1205、1184、1157、1118、1101、1065、1057、1014、1003、947、916、895、800、775、679、619、596、580、546、511、465
对C8H21AlN2 172.25计算的冰点分子量发现为179.06,相关度=1.04实施例2a:化合物(Ie-5)的配体的合成
Figure BDA0002530429350000221
向250mL圆底烧瓶中装入1-(2-氯乙基)吡咯烷盐酸盐(24.85g,0.146mol)、叔丁胺(115mL,1.1mol,6.3当量)、水(5mL),并在70℃下加热至温和回流达18小时。在冷却至环境温度后,添加己烷和水(各自40mL)并将其转移至分液漏斗中。将水性级分用己烷(3×20mL)洗涤并将合并的己烷级分用盐水洗涤,经MgSO4脱水,在减压下蒸发,从而得到略微红色的油,通过在100℃和18托下进行真空蒸馏来将其纯化(14.466g,58.1%)。
1H NMR(400MHz,C6D6)δ=2.67-2.56(m,4H)、2.39(t,4H)、1.59(p,4H)、1.07(s,9H)
13C NMR(100MHz,C6D6)δ=57.40、54.55、50.08、41.88、29.64、24.28实施例2b:化合物(Ie-5)的合成.
Figure BDA0002530429350000231
向250mL Schlenk烧瓶中装入LiAlH4(0.925g,24.37mmol)、乙醚(70mL),并在冰浴上冷却至0℃。向单独的100mL Schlenk烧瓶中装入AlCl3(1.083g,8.12mmol)和乙醚(50mL)。将AlCl3溶液经套管加入LiAlH4溶液中,将所得混浊溶液在环境温度下搅拌30分钟。将混合物冷却至-30℃并添加化合物(Ie-5)的配体(5.531g,32.48mmol)在乙醚(25mL)中的溶液。将所得混合物在环境温度下搅拌4小时,随后将其经由硅藻土过滤并在减压下蒸发。当大部分乙醚蒸发时,将烧瓶在冰浴上冷却以固化低熔点产物(3.600g,56%)。
熔点:28-29℃
1H NMR(600MHz,C6D6)δ=4.47(bs,2H)、3.08(m,2H)、2.82(t,2H)、2.43(t,2H)、1.66(m,4H)、1.37(s,9H)、1.22(m,2H)
13C NMR(150MHz,C6D6)δ=59.45、54.84、51.52、42.99、30.48、23.23实施例3:化合物(Ie-1)的氯化类似物的合成
Figure BDA0002530429350000232
向100mL Schlenk烧瓶中装入1-叔丁胺基-2-二甲基胺基乙烷(500mg,3.47mmol)、甲苯(25mL),在冰浴上冷却至0℃。滴加正丁基锂溶液(1.39mL,3.47mmol)且允许在冰浴上搅拌该混合物30分钟,然后移除冰浴并将混合物升温至环境温度达2小时。向单独的100mLSchlenk烧瓶中装入AlCl3(463mg,3.47mmol)、甲苯(15mL),并在冰浴上冷却至0℃。然后,将配体溶液经套管加入AlCl3溶液中,将所得混合物缓慢地升温至环境温度达3小时,随后经由硅藻土过滤,在减压下蒸发,从而得到橙色固体。粗产物的升华(100℃,50毫托)产生无色晶体(388mg,48%)。
熔点:87-89℃
1H NMR(400MHz,C6D6)δ=2.49(t,2H)、1.97(t,2H)、1.76(s,6H)、1.27(s,9H)
13C NMR(100MHz,C6D6)δ=60.20、50.74、44.92、39.71、30.41实施例4:化合物(III-1)的合成
Figure BDA0002530429350000241
向200mL Schlenk烧瓶中装入AlCl3(481mg,3.605mmol)和乙醚(40mL)并在冰浴上冷却。向100mL Schlenk烧瓶中装入LiAlH4(410mg,10.815mmol)和乙醚(40mL),通过套管将所得LiAlH4溶液转移至AlCl3溶液中。将AlH3(14.421mmol,1.1当量)的所得乙醚溶液在环境温度下搅拌30分钟。向单独的100mL Schlenk烧瓶中装入1,3-二乙基-4,5-二甲基咪唑-2-亚基(1.996g,13.11mmol)和乙醚(20mL)。
然后,通过套管将该溶液转移至已在冰浴上重新冷却的AlH3溶液中。将所得混合物在环境温度下搅拌18小时,然后将混合物经由硅藻土过滤,用乙醚(2×15mL)洗涤固体,将合并的乙醚级分在减压下蒸发,从而得到白色粉末。通过在110℃,50毫托下升华来纯化(1.960g,82%)。
熔点:115-116℃
1H NMR(600MHz,C6D6)δ=3.82(q,4H)、1.26(s,6H)、1.01(t,6H)
13C NMR(150MHz,C6D6)δ=124.97、42.40、16.61、7.99
IR(ATR)v/cm-1=2967、2924、2872、2818、1767、1720、1639、1470、1447、1420、1396、1379、1356、1344、1315、1298、1242、1205、1159、1118、1094、970、903、822、741、696、586、523、498
实施例5:由TiCl4和化合物(Ie-1)生长钛碳氮化物膜
在装备有负载锁定且超高纯度N2(<100ppt H2O、O2)作为载气的Picosun R-75 ALD反应器中在SiO2基材(Si上的100nm热氧化物)上使用化合物(Ie-1)和TiCl4来评估膜的ALD生长。使用惰性气体阀将前体和共反应物蒸气顺序脉冲至沉积室中,并由吹扫期隔开。
在高于180℃温度下沉积制得了导电且在空气中稳定的浅金色膜。
在300℃下通过研究作为前体和共反应物脉冲长度函数的生长速率而证明前体和共反应物二者的自限性生长。图3的顶部显示了在对于TiCl4为≥0.2秒的脉冲长度,对于化合物(Ie-1)为≥2.0秒的脉冲长度下,在250次ALD循环后,生长速率恒定为
Figure BDA0002530429350000251
循环。化合物(Ie-1)的自限性行为的观测结果是出人意料且令人惊讶的,因为300℃的膜生长温度远高于其185℃的固态热分解温度。在高于共反应物分解温度下,通常观测到自限性生长的损失和不断提高的生长速率。在这种情况下,在不存在前体或共反应物的情况下,甚至直到400℃也未观测到膜生长。
使用0.2秒TiCl4、5秒化合物(Ie-1)和10秒N2吹扫气体的饱和性脉冲方案,作为基材温度的函数评估250次循环后的生长速率,如图3左下方所证实的那样。在220-400℃之间,生长速率大致与基材温度无关,为
Figure BDA0002530429350000252
循环。在280-400℃的温度范围内,膜电阻率为600-650μΩ·cm。在75-375次循环之间,观测到膜的线性生长,根据线性回归,生长速率为
Figure BDA0002530429350000253
循环(图6D)。-16.577的y截距可表明在达到稳态生长之前,发生了约9次循环的稍微成核延迟。
使用X射线光电子谱分析(XPS)来测定膜组成并揭露Ti、C和N以及少量O、Cl和Al的存在,如下表2所示。
表2:使用TiCl4和化合物(Ie-1)的XPS膜组成
Figure BDA0002530429350000254
如图4所示,通过对在280和400℃下沉积的40-50nm膜进行掠入射XRD(GI-XRD)分析,发现膜的结构是纳米晶体TiN/TiC。观测到对应于TiN/TiC的111和200晶格面的低强度反射。
实施例6:由WCl6和化合物(Ie-1)生长碳化钨膜
使用WCl6和化合物(Ie-1)的初始ALD膜生长试验来沉积银灰色膜,生长温度为275-375℃,生长速率为
Figure BDA0002530429350000255
循环,电阻率为850-1350μΩ·cm(图5)。这些结果类似于使用TiCl4和化合物(Ie-1)获得的那些。基于能量色散X射线谱分析(EDS)的膜组成由W和C以及较低含量的Cl和Al组成。EDS未检测到N,而针对由TiCl4和化合物(Ie-1)沉积的膜观测到清晰的N信号。因此,这些膜可能是WCx而不是WCxNy。基于W比Ti更容易还原,在较低温度下可能形成W金属膜。
实施例7:由AlCl3和化合物(Ie-1)生长铝金属膜
在使用AlCl3作为金属前体和化合物(Ie-1)作为还原剂下,在120℃下沉积Al金属膜。脉冲序列为2秒AlCl3脉冲、20秒N2吹扫气体、5秒化合物(Ie-1)脉冲、10秒N2吹扫气体,125次循环,在Cu基材上沉积42nm膜(图6)。方阻为1.56Ω/平方,对应于6.5μΩ·cm的计算出的体积电阻率,接近于Al金属的体积电阻率(2.74μΩ·cm)。在250次循环之后,沉积在Cu和TiN基材上的80nm厚的膜具有0.65Ω/平方的方阻和5.0μΩ·cm的体积电阻率。
根据GI-XRD,沉积膜是晶状Al金属。图7显示了沉积在SiO2基材上的400nm厚Al膜(Si上的100nm热氧化物)的GI-XRD图案,其具有Al金属的典型111和200反射。
实施例8a:化合物(Ii-3)的配体的合成
将3-二甲胺基丙基氯化物盐酸盐(10.046g,0.062mol)、叔丁胺(30mL,0.280mol)和水(5mL)的混合物在100mL圆底烧瓶中回流18小时。在环境温度下,向所得溶液中加入己烷(25mL)和水(20mL)。将烧瓶内含物转移至分液漏斗中。用己烷(9×25mL)洗涤水性级分,将合并的有机级分经无水MgSO4脱水。在减压下蒸发溶剂以得到无色油(3.798g,产率39%)。
1H NMR(400MHz,C6D6)δ=1.03(s,9H)、1.56(五重峰,2H)、2.11(s,6H)、2.26(t,2H)、2.55(t,2H)
13C NMR(100MHz,C6D6)δ=29.69、30.17、41.48、46.10、50.32、58.85实施例8b:化合物(Ii-3)的合成
在0℃下在冰浴中将AlCl3(0.800g,6mmol)于30mL乙醚中的溶液经套管导入LiAlH4(0.719g,18mmol)于45mL乙醚中的搅拌溶液中。将所得混浊溶液升温至室温,搅拌40分钟,并再冷却至-30℃。然后,滴加[3-(叔丁胺基)丙基]二甲胺(3.798g,24mmol)于45mL乙醚中的溶液。将所得混合物在环境温度下搅拌18小时,随后经由粗玻璃粉上的2cm硅藻土塞进行过滤。在减压下由滤液蒸发乙醚以收集白色半固体。通过在减压下约60℃蒸馏来纯化粗产物,从而得到无色油(1.301g,产率30%)。
1H NMR(400MHz,C6D6)δ=1.27(五重峰,2H)、1.30(s,9H)、1.95(s,6H)、2.08(t,2H)、2.99(t,2H)
13C NMR(100MHz,C6D6)δ=28.79、31.77、45.73、45.82、53.01、62.02,IR:vAIH/cm-11801。

Claims (11)

1.一种制备无机含金属膜的方法,包括使固体基材与呈气态的通式(I)或(II)化合物接触:
Figure FDA0002530429340000011
其中A为NR2或OR,其中R为烷基、链烯基、芳基或甲硅烷基,
E为NR或O,
n为1、2或3,且
R'为氢、烷基、链烯基、芳基或甲硅烷基,
其中如果n为2且E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子。
2.如权利要求1所述的方法,其中R为甲基、乙基、叔丁基、三甲基甲硅烷基,或两个R一起形成五元环,且R'为氢。
3.如权利要求1或2所述的方法,其中在使固体基材与通式(I)或(II)化合物接触之前,使含金属化合物由气态沉积至固体基材上。
4.如权利要求3所述的方法,其中所述含金属化合物含有Ti、Ta、Mn、Mo、W、Al、Co、Ga、Ge、Sb或Te。
5.如权利要求3或4所述的方法,其中所述含金属化合物为金属卤化物。
6.如权利要求1或2所述的方法,其中吸附的通式(I)或(II)化合物分解。
7.如权利要求3-6中任一项所述的方法,其中包括使固体基材与通式(I)或(II)化合物接触并沉积含金属化合物或使吸附的通式(I)或(II)化合物分解的工序实施至少两次。
8.如权利要求1-7中任一项所述的方法,其中通式(I)化合物具有不超过600g/mol的分子量。
9.如权利要求1-8中任一项所述的方法,其中通式(I)化合物在200℃的温度下具有至少1毫巴的蒸气压。
10.一种通式(I)或(II)化合物:
Figure FDA0002530429340000021
其中A为NR2或OR,其中R为烷基、链烯基、芳基或甲硅烷基,
E为NR或O,
n为0、1或2,m为0、1或2,且
R'为氢、烷基、链烯基、芳基或甲硅烷基,
其中如果n为2且E为NR或A为OR,则NR或OR中的至少一个R在1位不带有氢原子。
11.如权利要求10所述的化合物,其中R'为氢,且R为甲基、乙基、叔丁基或三甲基甲硅烷基,或两个R一起形成五元环。
CN201880079468.0A 2017-12-20 2018-11-09 产生含金属膜的方法 Active CN111727271B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762763125P 2017-12-20 2017-12-20
US62/763,125 2017-12-20
US201862763136P 2018-03-07 2018-03-07
US62/763,136 2018-03-07
PCT/EP2018/080738 WO2019120743A1 (en) 2017-12-20 2018-11-09 Process for the generation of metal-containing films

Publications (2)

Publication Number Publication Date
CN111727271A true CN111727271A (zh) 2020-09-29
CN111727271B CN111727271B (zh) 2024-04-02

Family

ID=64270896

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880079938.3A Active CN111727272B (zh) 2017-12-20 2018-09-17 产生含金属膜的方法
CN201880079468.0A Active CN111727271B (zh) 2017-12-20 2018-11-09 产生含金属膜的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201880079938.3A Active CN111727272B (zh) 2017-12-20 2018-09-17 产生含金属膜的方法

Country Status (9)

Country Link
US (3) US11319332B2 (zh)
EP (3) EP3728688B1 (zh)
JP (3) JP2021507123A (zh)
KR (2) KR20200111181A (zh)
CN (2) CN111727272B (zh)
IL (2) IL275283B1 (zh)
SG (2) SG11202005468YA (zh)
TW (2) TWI787353B (zh)
WO (2) WO2019120650A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112513053A (zh) * 2018-07-30 2021-03-16 Up化学株式会社 铝化合物和使用该铝化合物形成含铝膜的方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3728688B1 (en) * 2017-12-20 2021-11-10 Basf Se Process for the generation of metal-containing films
EP3795714A1 (en) * 2019-09-17 2021-03-24 Basf Se Process for the generation of aluminum-containing films
JP7159254B2 (ja) * 2020-09-18 2022-10-24 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム及び基板処理装置
WO2023212652A1 (en) * 2022-04-27 2023-11-02 Impact Nano Llc High purity ligand-al-hydride compositions
WO2024008624A1 (en) * 2022-07-06 2024-01-11 Basf Se Process for preparing of transition metal-containing films

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5874131A (en) * 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US5880303A (en) * 1997-07-28 1999-03-09 Choi; Hyungsoo Amidoalane precursors for chemical vapor deposition of aluminum
US5924012A (en) * 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US20060257567A1 (en) * 2005-02-14 2006-11-16 Peters David W Organoaluminum precursor compounds
EP1788116A1 (en) * 2005-11-16 2007-05-23 Rohm and Haas Company A method for thin film vapor deposition of dialkyl amido dihydro aluminium compound
JP2007210825A (ja) * 2006-02-08 2007-08-23 Univ Waseda アルミナ膜とその製造方法並びに光学装置
CN101155640A (zh) * 2005-02-14 2008-04-02 普莱克斯技术有限公司 有机铝前体化合物
US20110084230A1 (en) * 2006-04-03 2011-04-14 Paul Knochel Process for Synthesizing Organoelemental Compounds
US20150004314A1 (en) * 2013-06-28 2015-01-01 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
CN105907352A (zh) * 2016-06-24 2016-08-31 江苏景宏新材料科技有限公司 一种碱性干电池标签用热熔压敏胶及其制备方法
CN106661728A (zh) * 2014-08-04 2017-05-10 巴斯夫欧洲公司 生成薄无机膜的方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3520929A (en) 1966-10-19 1970-07-21 Exxon Research Engineering Co Hexafluoro-2-propanol-2-amines
US3892607A (en) * 1967-04-28 1975-07-01 Philips Corp Method of manufacturing semiconductor devices
US3988337A (en) 1974-12-19 1976-10-26 Basf Wyandotte Corporation Substituted triamino-s-triazines
JP3275825B2 (ja) * 1998-03-06 2002-04-22 株式会社日立製作所 磁気ディスク装置
CN1245380C (zh) * 1998-07-24 2006-03-15 帝人株式会社 邻氨基苯甲酸衍生物
KR100289945B1 (ko) * 1998-09-15 2001-09-17 신현국 알루미늄박막의화학증착용전구체화합물및이의제조방법
JP3625143B2 (ja) 1998-12-28 2005-03-02 大日精化工業株式会社 顔料の分散剤、顔料分散液及びカラーフイルター
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6602356B1 (en) * 2000-09-20 2003-08-05 General Electric Company CVD aluminiding process for producing a modified platinum aluminide bond coat for improved high temperature performance
JP4780866B2 (ja) * 2001-07-23 2011-09-28 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
EP1335416A1 (en) * 2002-01-17 2003-08-13 Shipley Co. L.L.C. Preparation of monoalkyl group 15 metal dihalides and dihydrides
WO2004107297A1 (ja) * 2003-05-29 2004-12-09 Konica Minolta Holdings, Inc. ディスプレイ基板用透明フィルム、該フィルムを用いたディスプレイ基板およびその製造方法、液晶ディスプレイ、有機エレクトロルミネッセンスディスプレイ、およびタッチパネル
AU2004251949B2 (en) 2003-06-27 2009-05-07 Kyowa Hakko Kirin Co., Ltd. Hsp90 family protein inhibitors
US7009081B2 (en) 2003-08-04 2006-03-07 Air Products And Chemicals, Inc. N-methylated amines from selective vapor phase amination of amino ether alcohols
US20060088660A1 (en) * 2004-10-26 2006-04-27 Putkonen Matti I Methods of depositing lead containing oxides films
WO2006051851A1 (ja) 2004-11-10 2006-05-18 Wakamoto Pharmaceutical Co., Ltd. 2,3,4,5-テトラヒドロ-1h-1,5-ベンゾジアゼピン誘導体、及び、医薬組成物
NO20045674D0 (no) * 2004-12-28 2004-12-28 Uni I Oslo Thin films prepared with gas phase deposition technique
US20060193984A1 (en) * 2005-02-14 2006-08-31 Peters David W Organoaluminum precursor compounds
KR100756403B1 (ko) * 2006-05-18 2007-09-10 (주)디엔에프 알루미늄 박막의 화학증착용 전구체 화합물의 제조방법
US7547631B2 (en) * 2006-07-31 2009-06-16 Rohm And Haas Electronic Materials Llc Organometallic compounds
US7959986B2 (en) 2006-08-09 2011-06-14 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
CN101541721A (zh) 2006-11-27 2009-09-23 巴斯夫欧洲公司 制备3-烷氧基丙-1-醇的方法
US20080300359A1 (en) * 2007-05-15 2008-12-04 Fujifilm Corporation Aqueous coating material composition
WO2009009882A1 (en) 2007-07-16 2009-01-22 F. Hoffmann-La Roche Ag An anti-cancer cytotoxic monoclonal antibody
US7659414B2 (en) * 2007-07-20 2010-02-09 Rohm And Haas Company Method of preparing organometallic compounds
US20090226612A1 (en) 2007-10-29 2009-09-10 Satoko Ogawa Alkaline earth metal containing precursor solutions
DE102007058571B4 (de) * 2007-12-05 2012-02-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrat mit einer Kupfer enthaltenden Beschichtung und Verfahren zu deren Herstellung mittels Atomic Layer Deposition und Verwendung des Verfahrens
EP2321257B1 (en) 2008-08-14 2013-03-27 Dow Global Technologies LLC Production of mixtures of methylenedianiline and its higher homologues using calcined metal oxide-silica catalysts
JP5477557B2 (ja) 2008-09-09 2014-04-23 高砂香料工業株式会社 エステル又はラクトン類の水素還元によるアルコール類の製造方法
WO2010091047A1 (en) * 2009-02-06 2010-08-12 Dow Global Technologies Inc. Process of making aluminum alkyls
US9822446B2 (en) * 2010-08-24 2017-11-21 Wayne State University Thermally stable volatile precursors
US8632853B2 (en) * 2010-10-29 2014-01-21 Applied Materials, Inc. Use of nitrogen-containing ligands in atomic layer deposition methods
US9353437B2 (en) * 2010-11-17 2016-05-31 Up Chemical Co., Ltd. Diazadiene-based metal compound, method for preparing same and method for forming a thin film using same
FR2981860B1 (fr) 2011-10-28 2013-11-08 IFP Energies Nouvelles Solution absorbante a base de monoalcanolamines tertiaires appartenant a la famille des 3-alcoxypropylamines et procede d'elimination de composes acides contenus dans un effluent gazeux
US8927059B2 (en) * 2011-11-08 2015-01-06 Applied Materials, Inc. Deposition of metal films using alane-based precursors
WO2013123225A1 (en) * 2012-02-17 2013-08-22 Applied Materials, Inc. Passivation film stack for silicon-based solar cells
WO2013188377A1 (en) * 2012-06-11 2013-12-19 Wayne State University Precursors for atomic layer deposition
EP2875166B1 (en) * 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
CN104755485B (zh) * 2012-12-25 2017-07-11 株式会社艾迪科 铝化合物、薄膜形成用原料及薄膜的制造方法
US9245740B2 (en) * 2013-06-07 2016-01-26 Dnf Co., Ltd. Amino-silyl amine compound, method for preparing the same and silicon-containing thin-film using the same
JP2017505858A (ja) * 2014-01-27 2017-02-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 無機薄膜の生成方法
CN105585445B (zh) 2014-10-24 2017-11-21 中国石油化工股份有限公司 1,3‑丙二醇的制备方法
CN105085489B (zh) 2014-11-05 2019-03-01 益方生物科技(上海)有限公司 嘧啶或吡啶类化合物、其制备方法和医药用途
WO2016177655A1 (en) 2015-05-04 2016-11-10 F. Hoffmann-La Roche Ag Tetrahydropyridopyrimidines and tetrahydropyridopyridines as inhibitors of hbsag (hbv surface antigen) and hbv dna production for the treatment of hepatitis b virus infections
US10745808B2 (en) 2015-07-24 2020-08-18 Versum Materials Us, Llc Methods for depositing Group 13 metal or metalloid nitride films
EP3384065B1 (en) 2015-11-30 2021-04-28 Basf Se Process for the generation of metallic films
WO2018069130A1 (en) 2016-10-13 2018-04-19 Basf Se Process for the generation of metal-containing films
JP2020502360A (ja) 2016-10-25 2020-01-23 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se ケイ素含有薄膜の生成方法
WO2018108628A1 (en) 2016-12-13 2018-06-21 Basf Se Process for the generation of thin silicon-containing films
EP3728688B1 (en) * 2017-12-20 2021-11-10 Basf Se Process for the generation of metal-containing films
CN111936664A (zh) * 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
JP7401928B2 (ja) 2018-07-30 2023-12-20 ユーピー ケミカル カンパニー リミテッド アルミニウム化合物及びこれを使用したアルミニウム含有膜の形成方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5874131A (en) * 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US5924012A (en) * 1996-10-02 1999-07-13 Micron Technology, Inc. Methods, complexes, and system for forming metal-containing films
US5880303A (en) * 1997-07-28 1999-03-09 Choi; Hyungsoo Amidoalane precursors for chemical vapor deposition of aluminum
US20060257567A1 (en) * 2005-02-14 2006-11-16 Peters David W Organoaluminum precursor compounds
CN101155640A (zh) * 2005-02-14 2008-04-02 普莱克斯技术有限公司 有机铝前体化合物
EP1788116A1 (en) * 2005-11-16 2007-05-23 Rohm and Haas Company A method for thin film vapor deposition of dialkyl amido dihydro aluminium compound
JP2007210825A (ja) * 2006-02-08 2007-08-23 Univ Waseda アルミナ膜とその製造方法並びに光学装置
US20110084230A1 (en) * 2006-04-03 2011-04-14 Paul Knochel Process for Synthesizing Organoelemental Compounds
US20150004314A1 (en) * 2013-06-28 2015-01-01 Wayne State University Bis(trimethylsilyl) six-membered ring systems and related compounds as reducing agents for forming layers on a substrate
CN106661728A (zh) * 2014-08-04 2017-05-10 巴斯夫欧洲公司 生成薄无机膜的方法
CN105907352A (zh) * 2016-06-24 2016-08-31 江苏景宏新材料科技有限公司 一种碱性干电池标签用热熔压敏胶及其制备方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CHA等: ""Conversion of carboxylic acids to aldehydes with cyclic dialkyldiaminoaluminum hydrides"", 《《BULLETIN OF THE KOREAN CHEMICAL SOCIETY》》 *
CHA等: ""Conversion of carboxylic acids to aldehydes with cyclic dialkyldiaminoaluminum hydrides"", 《《BULLETIN OF THE KOREAN CHEMICAL SOCIETY》》, vol. 23, no. 9, 5 March 2003 (2003-03-05), pages 1340 - 1342 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112513053A (zh) * 2018-07-30 2021-03-16 Up化学株式会社 铝化合物和使用该铝化合物形成含铝膜的方法
CN112513053B (zh) * 2018-07-30 2024-02-23 Up化学株式会社 铝化合物和使用该铝化合物形成含铝膜的方法
US11912728B2 (en) 2018-07-30 2024-02-27 Up Chemical Co., Ltd. Aluminum compounds and methods of forming aluminum- containing film using the same

Also Published As

Publication number Publication date
CN111727272A (zh) 2020-09-29
SG11202005470SA (en) 2020-07-29
WO2019120650A1 (en) 2019-06-27
WO2019120743A1 (en) 2019-06-27
US11319332B2 (en) 2022-05-03
US11655262B2 (en) 2023-05-23
JP2021507123A (ja) 2021-02-22
US20220220131A1 (en) 2022-07-14
US11505562B2 (en) 2022-11-22
IL275284A (en) 2020-07-30
CN111727271B (zh) 2024-04-02
EP3728689A1 (en) 2020-10-28
IL275283B1 (en) 2024-03-01
US20210079025A1 (en) 2021-03-18
EP3957769A1 (en) 2022-02-23
TWI787353B (zh) 2022-12-21
TWI800579B (zh) 2023-05-01
JP2021507124A (ja) 2021-02-22
CN111727272B (zh) 2023-04-28
SG11202005468YA (en) 2020-07-29
IL275283A (en) 2020-07-30
EP3728688A1 (en) 2020-10-28
KR20200111181A (ko) 2020-09-28
US20210079520A1 (en) 2021-03-18
KR20200111182A (ko) 2020-09-28
EP3728688B1 (en) 2021-11-10
TW201930630A (zh) 2019-08-01
JP2024054140A (ja) 2024-04-16
TW201930629A (zh) 2019-08-01

Similar Documents

Publication Publication Date Title
CN111727271B (zh) 产生含金属膜的方法
JP5918316B2 (ja) 揮発性ジヒドロピラジニル及びジヒドロピラジン金属錯体
TWI742022B (zh) 生成金屬膜的方法
TWI815904B (zh) 生產含金屬或半金屬薄膜之方法
CN111954674B (zh) 铝前体和生成含金属膜的方法
CN113906158A (zh) 生成含金属或半金属膜的方法
CN114729449A (zh) 产生含金属或含半金属的膜的方法
KR20210031492A (ko) 금속 또는 반금속-함유 필름의 제조 방법
CN113939609A (zh) 生成含金属或半金属膜的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant