JP2017092455A - カルコゲナイド材料を封止する方法 - Google Patents

カルコゲナイド材料を封止する方法 Download PDF

Info

Publication number
JP2017092455A
JP2017092455A JP2016185454A JP2016185454A JP2017092455A JP 2017092455 A JP2017092455 A JP 2017092455A JP 2016185454 A JP2016185454 A JP 2016185454A JP 2016185454 A JP2016185454 A JP 2016185454A JP 2017092455 A JP2017092455 A JP 2017092455A
Authority
JP
Japan
Prior art keywords
substrate
silicon nitride
reactant
layer
deposited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016185454A
Other languages
English (en)
Other versions
JP6918460B2 (ja
Inventor
ジョン・ヘンリー
Henri Jon
デニス・エム.・ハウスマン
M Hausmann Dennis
セシャサイー・バラダラジャン
Varadarajan Seshasayee
バドリ・エヌ.・バラダラジャン
N Varadarajan Badri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017092455A publication Critical patent/JP2017092455A/ja
Application granted granted Critical
Publication of JP6918460B2 publication Critical patent/JP6918460B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02417Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • H10N70/235Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect between different crystalline phases, e.g. cubic and hexagonal
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/861Thermal details
    • H10N70/8616Thermal insulation means
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8822Sulfides, e.g. CuS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Thermal Transfer Or Thermal Recording In General (AREA)

Abstract

【課題】カルコゲナイド材料を含むメモリデバイス上に、原子層堆積法によってシリコン窒化物の封止層を堆積させる方法を提供する。【解決手段】ヨウ素含有シリコン前駆体及び/または臭素含有シリコン前駆体を用いるとともに、第2の反応物質としてのアンモニアまたはヒドラジンを用いた熱的な堆積、またはヨウ素含有シリコン前駆体及び/または臭素含有シリコン前駆体と窒素系または水素系プラズマを用いた堆積を含む。【選択図】図3

Description

半導体デバイスの製造は、シリコン窒化物膜の堆積を伴うことがある。シリコン窒化物の薄膜は、独特な物理的特性、化学的特性、および機械的特性を有するため、様々な用途に使用される。例えば、シリコン窒化物膜は、トランジスタにおける拡散バリア、ゲート絶縁膜、側壁スペーサ、封止層、歪み膜などに用いられることがある。シリコン窒化物膜を堆積する従来の方法では、堆積が実施される場所である処理チェンバの構成部品を損傷することがあり、または基板材料を損傷することがある。
本明細書において、基板を処理する方法を提供する。
一態様は、カルコゲナイド材料を封止する方法に関し、この方法は、(a)カルコゲナイド材料の1つ以上の露出層を有する基板を、チェンバに供給することと、(b)その基板を、塩素フリー臭素含有シリコン前駆体が基板の表面に吸着することを可能とする条件下で塩素フリー臭素含有シリコン前駆体に暴露することであって、これにより、塩素フリー臭素含有シリコン前駆体の吸着層を形成することと、(c)カルコゲナイド材料の上にシリコン窒化物膜を形成するために、第2の反応物質に基板を暴露することと、を含む。
種々の実施形態において、塩素フリー臭素含有シリコン前駆体は、x=1、yは1≦y≦4かつy+z=4の整数として、化学式SixBryzの化合物、および、x=2、yは1≦y≦6かつy+z=6の整数として、化学式SixBryzの化合物、のうちのいずれかである。
例えば、いくつかの実施形態において、塩素フリー臭素含有シリコン前駆体は、テトラブロモシラン(SiBr4)、SiBr3I、SiBr22、SiBrI3、ヘキサブロモジシラン(Si2Br6)、Si2Br5I、Si2Br42、Si2Br33、Si2Br24、Si2BrI5、およびこれらの組み合わせ、のいずれかである。
種々の実施形態において、シリコン窒化物は、少なくとも約40Åの厚さに堆積される。種々の実施形態において、第2の反応物質は、アンモニアおよびヒドラジンのいずれかであり、シリコン窒化物は、約300℃よりも低い温度で堆積させてよい。例えば、いくつかの実施形態において、シリコン窒化物は、約50℃〜約300℃の間の温度で堆積される。いくつかの実施形態において、シリコン窒化物は、約200℃〜約275℃の間の温度で堆積される。
一部の実施形態では、本方法は、さらに、基板を第2の反応物質に暴露するときに、反応種を生成するために、プラズマを点火することを含む。いくつかの実施形態において、第2の反応物質は、窒素または水素のいずれかである。
種々の実施形態において、第2の反応物質は、アルミニウム、鉄、銅、アンチモン、セレン、テルル、ゲルマニウム、ヒ素、のいずれかと反応すると、揮発性物質を生成する。いくつかの実施形態において、カルコゲナイド材料は、硫黄、セレン、テルル、およびそれらの組み合わせ、のいずれかである。
一部の実施形態では、堆積されたシリコン窒化物膜は、少なくとも約95%のステップカバレッジを有する。シリコン窒化物膜は、約30Åよりも大きい厚さに堆積させてよい。
種々の実施形態において、(i)として(b)の実行後かつ(c)の実行前、(ii)として(c)の実行後、の少なくともいずれかの時点で、チェンバをパージする。いくつかの実施形態において、(b)と(c)を順次繰り返す。種々の実施形態において、アルゴン、ヘリウム、窒素、水素、のいずれかのようなパージガスを流入させることにより、チェンバをパージする。
一態様は、カルコゲナイド材料を封止する方法に関し、この方法は、(a)カルコゲナイド材料の1つ以上の露出層を有する基板を、チェンバに供給することと、(b)その基板を、塩素フリーヨウ素含有シリコン前駆体が基板の表面に吸着することを可能とする条件下で塩素フリーヨウ素含有シリコン前駆体に暴露することであって、これにより、塩素フリーヨウ素含有シリコン前駆体の吸着層を形成することと、(c)カルコゲナイド材料の上にシリコン窒化物膜を形成するために、第2の反応物質に基板を暴露すること、による。
塩素フリーヨウ素含有シリコン前駆体は、ジヨードシラン、テトラヨードシラン、ヘキサヨードシラン、のいずれかであってよい。種々の実施形態において、第2の反応物質は、アンモニアおよびヒドラジンのいずれかであり、シリコン窒化物は、約300℃よりも低い温度で堆積させてよい。例えば、いくつかの実施形態において、シリコン窒化物は、約50℃〜約300℃の間の温度で堆積される。いくつかの実施形態において、シリコン窒化物は、約200℃〜約275℃の間の温度で堆積される。
一部の実施形態では、本方法は、さらに、基板を第2の反応物質に暴露するときに、反応種を生成するために、プラズマを点火することを含む。いくつかの実施形態において、第2の反応物質は、窒素または水素のいずれかである。
種々の実施形態において、第2の反応物質は、アルミニウム、鉄、銅、アンチモン、セレン、テルル、ゲルマニウム、ヒ素、のいずれかと反応すると、揮発性物質を生成する。いくつかの実施形態において、カルコゲナイド材料は、硫黄、セレン、テルル、およびそれらの組み合わせ、のいずれかである。
一部の実施形態では、堆積されたシリコン窒化物膜は、少なくとも約95%のステップカバレッジを有する。シリコン窒化物膜は、約30Åよりも大きい厚さに堆積させてよい。
種々の実施形態において、(i)として(b)の実行後かつ(c)の実行前、(ii)として(c)の実行後、の少なくともいずれかの時点で、チェンバをパージする。いくつかの実施形態において、(b)と(c)を順次繰り返す。種々の実施形態において、アルゴン、ヘリウム、窒素、水素、のいずれかのようなパージガスを流入させることにより、チェンバをパージする。
一部の実施形態では、本方法は、さらに、基板上に第2の層を堆積させることを含み、このとき、その層を、約30%〜約90%の間のステップカバレッジで堆積させる。
第2の層は、リモートプラズマ化学気相成長法またはプラズマ化学気相成長法によって堆積させてよい。種々の実施形態において、第2の層は、シリコン窒化物を含み得る。一部の実施形態では、第2の層は、シリコン炭化物を含み得る。
種々の実施形態において、基板は、1つ以上のフィーチャ(形状)を含み、1つ以上のフィーチャのうちの少なくとも1つの側壁上の第2の層の厚さは、1つ以上のフィーチャのその少なくとも1つの最上部では、1つ以上のフィーチャのその少なくとも1つの最下部における1つ以上のフィーチャのその少なくとも1つの側壁上の第2の層の厚さよりも大きいように、第2の層は非コンフォーマルに堆積される。
他の態様は、メモリデバイスに関し、このメモリデバイスは、カルコゲナイド材料を含むメモリスタックと、メモリスタック上に堆積されてカルコゲナイド材料を封止するシリコン窒化物層であって、原子層堆積法を用いてヨウ素含有シリコン前駆体と第2の反応物質とに交互に暴露することにより堆積されたシリコン窒化物層であり、約30%〜約90%の間のステップカバレッジを有するシリコン窒化物層と、リモートプラズマ化学気相成長法またはプラズマ化学気相成長法によって約250℃でシリコン窒化物層上に直接堆積されたシリコン含有層であって、希釈率100:1の希釈フッ化水素酸を用いたウェットエッチング速度が約100Å/分よりも低いシリコン含有層と、を有する。
他の態様は、メモリデバイスに関し、このメモリデバイスは、カルコゲナイド材料を含むメモリスタックと、メモリスタック上に堆積されてカルコゲナイド材料を封止するシリコン窒化物層であって、原子層堆積法を用いて臭素含有シリコン前駆体と第2の反応物質とに交互に暴露することにより堆積されたシリコン窒化物層であり、約30%〜約90%の間のステップカバレッジを有するシリコン窒化物層と、リモートプラズマ化学気相成長法またはプラズマ化学気相成長法によって約250℃でシリコン窒化物層上に直接堆積されたシリコン含有層であって、希釈率100:1の希釈フッ化水素酸を用いたウェットエッチング速度が約100Å/分よりも低いシリコン含有層と、を有する。
これらおよび他の態様について、図面を参照して、以下でさらに説明する。
図1は、例示的な基板の概略図である。
図2Aは、開示される実施形態による方法のオペレーションを示すプロセスフロー図である。
図2Bは、開示されるいくつかの実施形態により堆積された層を含む例示的な基板の概略図である。
図3は、開示されるいくつかの実施形態による方法における例示的なサイクルを示すタイミングシーケンス図である。
図4は、開示される実施形態を実施するための例示的な処理チェンバの概略図である。
図5は、開示される実施形態を実施するための例示的なプロセスツールの概略図である。
図6Aは、開示されるいくつかの実施形態を用いて堆積されたシリコン窒化物を有する基板の画像である。
図6Bは、開示されるいくつかの実施形態を用いて堆積されたシリコン窒化物膜について、実験結果のFTIRスペクトルを示すプロットである。
図7Aは、アンモニアプラズマに暴露された後のGST(ゲルマニウム、アンチモン、テルル)基板のモル分率組成を示す、実験結果のプロットである。
図7Bは、GST(ゲルマニウム、アンチモン、テルル)基板のモル分率組成を示す、実験結果のプロットである。
図7Cは、開示されるいくつかの実施形態を用いて堆積されたシリコン窒化物層を有するGST(ゲルマニウム、アンチモン、テルル)基板の、アンモニアプラズマに暴露された後のモル分率組成を示す、実験結果のプロットである。
図8Aは、開示されるいくつかの実施形態を用いて様々に異なる厚さに堆積されたシリコン窒化物を有する種々のGST基板の、アンモニアプラズマに暴露された後のモル分率組成を示す、実験結果のプロットである。 図8Bは、開示されるいくつかの実施形態を用いて様々に異なる厚さに堆積されたシリコン窒化物を有する種々のGST基板の、アンモニアプラズマに暴露された後のモル分率組成を示す、実験結果のプロットである。 図8Cは、開示されるいくつかの実施形態を用いて様々に異なる厚さに堆積されたシリコン窒化物を有する種々のGST基板の、アンモニアプラズマに暴露された後のモル分率組成を示す、実験結果のプロットである。
以下の説明では、提示する実施形態についての完全な理解を与えるため、様々な具体的詳細について記載する。開示される実施形態は、それら特定の詳細の一部または全てを省いて実施してもよい。一方で、開示される実施形態を不必要に不明瞭にすることがないよう、周知の工程処理については詳細に記載していない。開示される実施形態は、具体的な実施形態に関連して説明されるものの、それは、開示される実施形態を限定するものではないことは理解されるであろう。
半導体製造プロセスは、シリコン窒化物材料の堆積を伴うことが多い。一例では、シリコン窒化物は、半導体デバイスの製造において、拡散バリア、ゲート絶縁膜、側壁スペーサ、および封止層として用いられることがある。また、コンフォーマルなシリコン窒化物層は、他の用途で用いられることもある。例えば、メモリ構造の製造において、シリコン窒化物が用いられることがある。従来のメモリ構造は、ビットストレージとして使用される金属酸化物材料を含む。ところが、デバイスサイズの小型化に対応するとともに効率を高めるように先進のメモリ構造の開発が進むにつれて、新たな課題が生じる。磁気抵抗メモリランダムアクセスおよび相変化ランダムアクセスメモリ(PCRAM)のような先進のメモリアーキテクチャは、ビットストレージ用として(金属酸化物以外の)新たな材料に依拠している。例えばPCRAMの場合には、金属カルコゲナイドの相によってビット状態が決まる。カルコゲナイドのいくつかの例として、硫黄(S)、セレン(Se)、およびテルル(Te)が挙げられる。これらの新たな材料は、空気および湿気に敏感であり、封止層を必要とし得る。これらのカルコゲナイドは、ゲルマニウム(Ge)、アンチモン(Sb)などのような適切な半金属イオンと結合すると、相変化層を形成する。相変化層は、損傷されると、相変化が生じないことがある。また、この相変化層は、光にも敏感である。相変化層の損傷を防ぐために、相変化層の上に、コンフォーマルなシリコン窒化物のメモリ封止層を堆積させることがある。このメモリ封止層は、他の化合物の汚染を発生させることがほとんどもしくは全くなく、また、デバイスの損傷を避けるために低温で堆積されるものである。
ところが、磁気デバイスの封止層として、そのようなシリコン窒化物層を堆積させるための多くの従来の堆積法は、プラズマ化学気相成長法(PECVD)などで非コンフォーマル膜を堆積させるものであるか、または原子層堆積法(ALD)においてプラズマと共に塩素含有または水素含有剤を用いることなどによってカルコゲナイド材料を浸食する前駆体を使用するものであるか、いずれかである。例えば、金属は、次のように浸食を受けることがある。
(solid)+xCl(gas)→MClx(gas) (式1)
他の例では、金属は、酸化または窒化を受けることがある。酸化反応の例は、次のようであり得る。
(solid)+xO(gas)→MOx(solid) (式2)
また、塩素含有シリコン前駆体を用いることは、約500℃よりも高い温度など、極めて高温での堆積を伴うことも多い。このため、塩素含有前駆体を用いた堆積は、従来、シリコン窒化物を形成するための反応に触媒作用を及ぼすためにプラズマを点火することを伴っていた。
例えば、いくつかの従来の堆積法は、ジクロロシラン(または他の塩素含有前駆体)とアンモニア(NH3)プラズマの交互のドーズを伴うが、これらの工程は両方とも、カルコゲナイド材料を浸食する可能性がある種を生成する傾向がある。例えば、封止層を堆積させるために、シリコン前駆体としてジクロロシラン(SiCl22)を用いることは、シリコン窒化物層を形成するために、ジクロロシランをプラズマで反応させることを伴う。ところが、プラズマを点火すると、ジクロロシランは、水素ラジカルおよびNH2ラジカルを塩化水素と共に形成しやすい場合があり、そしてこれが、図1に示すように、金属カルコゲナイドおよびチェンバの金属部材にアタックし得る。膜を堆積させるために、プラズマなしで塩素含有シリコン前駆体を用いてもよいが、はるかに高い温度(例えば、500℃超)で塩素含有シリコン前駆体が用いられて、このような温度ではメモリデバイスは損傷を受けやすい。
図1は、酸化層101を有する基板100を示している。基板100は、さらに、タングステン層103、カーボン層105、カルコゲナイド層107、第2のカーボン層115、第2のカルコゲナイド層117、第3のカーボン層125、および窒化層109を有する。
図1に示すように、基板上に封止層を堆積させるために塩素含有シリコン前駆体を用いる場合、プラズマを点火すると、塩素ラジカルおよび/または水素ラジカルが発生するとともに、第2の反応物質が塩化水素を形成するように反応し得ることで、塩素が、アルミニウム、ゲルマニウム、またはアンチモン、または鉄もしくは銅などの他のチェンバ材金属と反応する場合がある。それらの物質(例えば、AlCl3、GeCl4、またはSbCl3)は、揮発性金属塩を形成する蒸発層を生成し得る。それらの物質は低沸点を有し、例えば、AlCl3の沸点は120℃であり、GeCl4の沸点は87℃であり、SbCl3の沸点は200℃である。これにより、それらの揮発性金属塩は、基板の他の層に再堆積することがあり、その結果、欠陥および性能の問題が生じる。このように、塩素前駆体は、揮発性金属(Al、Fe、Cu)塩化物を発生させるチェンバの浸食に起因する膜中の金属汚染という一般的な問題を抱えている。
同様に、アンモニアなどの窒素含有反応物質から生成されるプラズマは、自由水素イオン、ラジカル、および同じくカルコゲナイドを浸食し得る他のプラズマ種、を発生させることがある。例えば、水素プラズマは、テルルまたはセレンと反応することで、それぞれH2TeおよびH2Seを形成することがあり、これにより、スタックから材料を除去して、その結果、性能の問題および欠陥が生じる。それらの物質は、低沸点を有し、例えば、H2Teは−2℃の沸点を有し、H2Seは−41℃の沸点を有する。そのような物質が、水素プラズマへの暴露から生成されると、これにより、スタックが浸食されることがある。そこで、従来の塩素フリーかつ水素フリーの(例えば、N2プラズマを用いた)プロセスによると、それらはコンフォーマル膜を生成するものではなく、バリアとしての効果はない。
本明細書において、カルコゲナイドを損傷することなく、カルコゲナイド材料を封止する方法を提供する。これらの方法は、塩素フリーのヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体を用いたシリコン窒化物の堆積を伴う。塩素含有シリコン前駆体に代えて、臭素含有シリコン前駆体またはブロモシランを用いることによって、塩素による浸食を大幅に軽減または解消することができる。塩素含有シリコン前駆体に代えて、ヨウ素含有シリコン前駆体またはヨードシランを用いることによって、塩素による浸食を大幅に軽減または解消することができる。従って、本明細書に記載の種々の実施形態では、シリコン窒化物膜を堆積させるために、塩素フリーヨウ素含有シリコン前駆体または塩素フリー臭素含有シリコン前駆体を用いる。ヨウ素含有および臭素含有シリコン前駆体によれば、シリコン窒化物膜を形成するために使用されるアンモニアプラズマ中に存在する自由水素による浸食がさらに軽減される熱堆積プロセスが可能となる。「ヨウ素含有シリコン前駆体」と「ヨードシラン前駆体」という用語は、本明細書では区別なく使用されることがあり、どちらも、少なくとも1つのシリコンおよび少なくとも1つのヨウ素原子を含む前駆体を意味し得る。「臭素含有シリコン前駆体」と「ブロモシラン前駆体」という用語は、本明細書では区別なく使用されることがあり、どちらも、少なくとも1つのシリコンおよび少なくとも1つの臭素原子を含む前駆体を意味し得る。ヨードシラン前駆体が本明細書に記載の金属と反応することによって生成される物質は、クロロシランと反応して生成される物質よりも高い沸点を有する。例えば、ヨードシラン前駆体がアルミニウムと反応することによって生成される物質は、240℃よりも高い沸点を有し、ヨードシラン前駆体がゲルマニウムと反応することによって生成される物質は、353℃よりも高い沸点を有し、ヨードシラン前駆体がアンチモンと反応することによって生成される物質は、181℃よりも高い沸点を有する。ブロモシラン前駆体は、その沸点がより低いことから、より揮発性が高いと予想される。ところが、予想外に、ブロモシラン前駆体は、ヨードシラン前駆体と同様の挙動を示し、ハーメチックかつコンフォーマルかつ非損傷性の封止層を形成するのに効果的である。本明細書に記載の封止層は、ハーメチックかつコンフォーマルかつ非損傷性のものであり、約300℃未満の温度のような低温で堆積され得る。例えば、いくつかの実施形態において、開示の実施形態は、約200℃〜約275℃の間の温度など、約50℃〜約300℃の間の温度で実施される。いくつかの実施形態において、シリコン窒化物は、約50℃〜約300℃の間の温度で堆積される。いくつかの実施形態において、シリコン窒化物は、約200℃〜約275℃の間の温度で堆積される。いくつかの実施形態において、封止層は、約250℃以上の温度で、いくつかの開示の実施形態を用いて堆積される。
堆積された膜は、コンフォーマルである。膜のコンフォーマル性は、ステップカバレッジによって測定され得る。本明細書で使用される場合の「ステップカバレッジ」は、側壁に堆積された膜の平均厚さを、フィーチャの最上部に堆積された膜の平均厚さで除算し、パーセンテージを得るために100を乗じることにより、計算される。開示の実施形態では、少なくとも約95%、または約100%、または100%のステップカバレージを有する膜が堆積され得る。一部の実施形態では、基板は、いくつかのスタックを含み、それらのスタック間のアスペクト比は約5:1であり、開示の実施形態では、ヨウ素含有前駆体およびアンモニアを用いて、シリコン窒化物が、少なくとも約95%のステップカバレージに堆積され得る。一部の実施形態では、プラズマまたは第2の反応物質の中にいくらかの水素が存在することで、膜のコンフォーマル性が向上することがある。
従来の塩素含有剤と比較して、開示の実施形態は、以下の表1に示すように、様々な材料との適合性がより高い場合がある。NH3プラズマが使用される場合には、いくらかのH2が形成されることで、H2Teが発生することがあるものの、開示の実施形態は、H2への暴露を抑えるか、または全く用いず、一般に様々な材料に適している。また、開示の実施形態は、パターンローディング感度も、ほとんどもしくは全く示さない。
本明細書に記載の実施形態は、ALDによる堆積を伴う。ALDは、逐次自己制御反応を用いて材料の薄層を堆積させる手法である。典型的には、ALDサイクルは、少なくとも1種の反応物質を供給して基板表面に吸着させ、その後、吸着した反応物質を1種以上の反応物質と反応させることで、膜の部分的な層を形成するための工程を含む。一例として、シリコン窒化物堆積サイクルは、以下の工程を含み得る。(i)ヨウ素含有シリコン前駆体の供給/吸着、(ii)チェンバからのヨウ素含有シリコン前駆体のパージ、(iii)オプションのプラズマと共に窒素含有反応物質の供給、(iv)チェンバからの窒素含有ガスおよび/またはプラズマのパージ。
化学気相成長(CVD)法とは異なり、ALDプロセスでは、表面媒介堆積反応を用いて、層を重ねて膜を堆積させる。ALDプロセスの一例では、基板を収容するチェンバにドーズで供給されるヨウ素含有または臭素含有シリコン前駆体のような第1の前駆体の気相供給に、表面活性部位ポピュレーションを含む基板表面が暴露される。この第1の前駆体の分子は、基板表面に吸着されて、第1の前駆体の化学吸着種および/または物理吸着分子を含む。なお、本明細書で記載されるように基板表面に化合物が吸着されるときには、その吸着層は、化合物だけではなく、化合物の誘導体も含み得るということは理解されなければならない。例えば、ヨウ素含有シリコン前駆体の吸着層は、ヨウ素含有シリコン前駆体だけではなく、ヨウ素含有シリコン前駆体の誘導体も含むことがある。第1の前駆体のドーズ後に、吸着種の大部分を残して、または吸着種のみを残して、気相のままの第1の前駆体の大部分または全てを除去するように、チェンバを排気する。一部の実現形態では、チェンバは、完全には排気されないことがある。例えば、チェンバは、気相の第1の前駆体の分圧が、反応を緩和するのに十分に低くなるように、排気されることがある。窒素含有反応物質のような第2の反応物質をチェンバに導入することで、それらの分子の一部を、表面に吸着した第1の前駆体と反応させる。プロセスによっては、第2の前駆体は、吸着した第1の前駆体と直ちに反応する。他の実施形態では、活性化源を一時的に適用した後にのみ、第2の反応物質は反応する。一部の実施形態では、第2の反応物質のドーズ中に、プラズマを点火する。その後、未結合の第2の反応物質分子を除去するために、チェンバは再び排気されることがある。上述のように、一部の実施形態では、チェンバは、完全には排気されないことがある。膜厚を形成するために、追加のALDサイクルを用いてよい。
一部の実施形態では、ALDの第1の前駆体のドーズによって、基板表面を部分的に飽和する。一部の実施形態では、ALDサイクルのドーズフェーズは、前駆体が表面を均一に飽和するように基板に接触するよりも前に、終了する。典型的には、その時点で、前駆体流をオフにするか、または分流させて、パージガスのみを流入させる。ALDプロセスは、この部分飽和レジームで実施することによって、サイクル時間が短縮されて、スループットは向上する。しかしながら、前駆体の吸着が飽和律速されないので、吸着された前駆体の濃度は、基板表面にわたって若干ばらつくことがある。部分飽和レジームで実施するALDプロセスの例は、2013年10月23日に出願された「SUB−SATURATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION(部分飽和による原子層堆積およびコンフォーマル膜堆積)」と題する米国特許出願第14/061,587号に提示されており、この文献は、その全体が参照により本明細書に組み込まれる。
上述のように、一部の実現形態では、ALD法は、プラズマ活性化を含む。本明細書に記載のように、本明細書で記載されるALD法および装置は、2011年4月11日に出願された「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマ活性化によるコンフォーマル膜堆積)」と題する米国特許出願第13/084,399号(現在の米国特許第8,728,956号)および2011年4月11日に出願された「SILICON NITRIDE FILMS AND METHODS(シリコン窒化物膜および方法)」と題する米国特許出願第13/084,305号で概説されているコンフォーマル膜堆積(CFD)法であってよく、これらの文献は、その全体が参照により本明細書に組み込まれる。
図2Aは、開示される実施形態の例示的なオペレーションを示すプロセスフロー図を示している。オペレーション201において、基板を処理チェンバに供給する。基板は、例えば、200mmウェハ、300mmウェハ、または450mmウェハであるシリコンウェハであってよく、その上に堆積された誘電材料、導電材料、または半導体材料などの1つ以上の材料層を有するウェハが含まれる。下層の非限定的な例として、誘電体層および導電層が含まれ、例えば、シリコン酸化物、シリコン窒化物、シリコン炭化物、金属酸化物、金属窒化物、金属炭化物、および金属の層が含まれる。一部の実施形態では、基板は、図1に示すもののようなスタックを有する。一部の実施形態では、基板は、2つ以上のスタックを有し、それらのスタックはそれぞれ、図1に示す層のようないくつかの層を含む。スタック間の空間は、幅狭である場合があり、スタック間のアスペクト比は、約5:1であるなど、約3:1〜約10:1の間であり得る。
図2Aのオペレーション203〜215の最中は、不活性ガスを流入させてよい。種々の実施形態において、不活性ガスをキャリアガスとして使用する。キャリアガスの例として、アルゴン、ヘリウム、ネオンが含まれる。一部の実施形態では、水素含有キャリアガスを用いることがある。一部の実施形態では、キャリアガスは、いくつかのオペレーションにおいて、パージガスとして用いられる。一部の実施形態では、キャリアガスは分流される。不活性ガスは、処理チェンバの圧力および/もしくは温度制御、液体反応物の蒸発、より高速での反応物質の供給を支援するため、ならびに/または処理チェンバおよび/もしくは処理チェンバの配管から処理ガスを除去するためのスイープガスとして、供給されることがある。
開示される種々の実施形態は、約0.1トール〜約20トールの間の圧力で実施してよい。多くの実施形態において、開示の方法は、約50℃〜約300℃の間の例えば約250℃など、約300℃よりも低い基板温度で実施してよい。そのような実施形態では、基板温度を制御するために、ペデスタルを約300℃よりも低い温度に設定してよい。例えば、MRAMおよびPCRAM用途の場合、基板上の材料は、高温に敏感であり得る。いくつかの実施形態において、開示の実施形態は、約200℃〜約275℃の間の温度など、約50℃〜約300℃の間の温度で実施される。いくつかの実施形態において、シリコン窒化物は、約50℃〜約300℃の間の温度で堆積される。いくつかの実施形態において、シリコン窒化物は、約200℃〜約275℃の間の温度で堆積される。
オペレーション203において、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体が基板表面に吸着するように、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体に基板を暴露する。ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体は、種々の実施形態において、臭素原子および/またはヨウ素原子で完全に置換されている場合がある。すなわち、ヨウ素含有前駆体および/または臭素含有前駆体は、水素原子を有していない場合がある。開示の実施形態は、ALDによるシリコン窒化物の堆積のために従来使用されていない前駆体に関わるものである。ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体を用いることによって、塩素フリーの堆積が可能となる。ヨウ素含有シリコン前駆体の例として、ジヨードシラン(DIS)、テトラヨードシラン、ヘキサヨードジシラン、などが含まれる。種々の実施形態において、臭素含有シリコン前駆体は、完全にハロゲン化されている。臭素含有シリコン前駆体は、化学式SixBryzのものであってよく、ここで、x=1の場合、yは1≦y≦4かつy+z=4の整数であり、またはx=2の場合、yは1≦y≦6かつy+z=6の整数である。臭素含有シリコン前駆体の例として、テトラブロモシラン(SiBr4)、SiBr3I、SiBr22、SiBrI3、ヘキサブロモジシラン(Si2Br6)、Si2Br5I、Si2Br42、Si2Br33、Si2Br24、Si2BrI5、およびこれらの組み合わせ、が含まれる。
オペレーション203は、ALDサイクルの一部であり得る。上述のように、一般に、ALDサイクルは、表面堆積反応を1回実施するために用いられる工程の最小セットである。一部の実施形態では、1サイクルの結果は、基板表面上の少なくとも部分的なシリコン窒化物膜層の生成である。サイクルは、反応物質または副生成物のいずれかのスイープ、および/または堆積されたまま(アズデポ)の部分的な膜の処理など、いくつかの補助的工程を含み得る。一般に、1サイクルは、固有のプロセスシーケンスの1つのインスタンスを含む。上述のように、一般に、1サイクルは、表面堆積反応を1回実施するために用いられる工程の最小セットである。1サイクルの結果は、基板表面上の少なくとも部分的な膜層の生成であり、例えば部分的なシリコン窒化物膜層の生成である。
オペレーション203では、第1の前駆体が基板表面に吸着されて吸着層を形成するように、第1の前駆体に基板を暴露する。いくつかの実施形態において、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体は、自己制御的に基板表面に吸着し、これにより、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体が活性点を占拠すると、さらにヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体が基板表面に吸着することは、ほとんどもしくは全くなくなる。例えば、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体は、基板表面の約60%に吸着され得る。種々の実施形態において、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体をチェンバに流入させると、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体は、基板表面上の活性点に吸着して、表面上にヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体の薄層を形成する。種々の実施形態において、この層は、1原子層に満たない場合があり、約0.2Å〜約0.4Åの間の厚さを有し得る。本明細書で提示する方法は、約250℃など、約300℃よりも低い温度で実施してよい。いくつかの実施形態において、開示の実施形態は、約200℃〜約275℃の間の温度など、約50℃〜約300℃の間の温度で実施される。いくつかの実施形態において、シリコン窒化物は、約50℃〜約300℃の間の温度で堆積される。いくつかの実施形態において、シリコン窒化物は、約200℃〜約275℃の間の温度で堆積される。
オペレーション205において、任意選択的に、処理チェンバは、基板表面に吸着しなかった余分な気相のヨウ素含有シリコン前駆体を除去するためにパージされる。チェンバをパージすることは、パージガスまたはスイープガスを流入させることを伴う場合があり、それは、他のオペレーションで使用されるキャリアガスであっても異なるガスであってもよい。一部の実施形態では、パージは、チェンバを排気することを伴い得る。パージガスの例として、アルゴン、窒素、水素、およびヘリウムが含まれる。一部の実施形態では、オペレーション205は、処理チェンバを排気するための1回以上の排気サブフェーズを含むことがある。あるいは、一部の実施形態では、オペレーション205を省略してもよいことは理解されるであろう。オペレーション205は、約0秒〜約60秒の間の例えば約0.01秒間など、任意の適切な継続時間であってよい。いくつかの実施形態において、1種以上のパージガスの流量を増加させることによって、オペレーション205の継続時間は短縮され得る。例えば、オペレーション205の継続時間を変更するために、種々の反応物質の熱力学的特性ならびに/または処理チェンバおよび/もしくは処理チェンバの配管の幾何学的特性に応じて、パージガス流量を調整してよい。非限定的な一例では、パージガス流量を変調することにより、パージフェーズの継続時間を調整してよい。これにより、堆積サイクル時間が短縮されることがあり、これによって基板スループットが向上し得る。パージ後に、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体は、基板表面に吸着したままである。
オペレーション211において、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体の吸着層と反応するための第2の反応物質に、基板を暴露する。なお、「第2の反応物質」という用語は、ALDサイクルにおいてプラズマを点火するときにチェンバに導入される1種以上のガスを記述するために使用され得るということに留意すべきである。
一部の実施形態では、その反応は、熱的なものであり得る。アンモニア(NH3)またはヒドラジン(例えば、H42)のいずれかを用いた熱ALDを伴う方法では、汚染を低減するとともに、堆積の際の水素ラジカルの存在を減少させることにより、基板上および/またはチェンバ内のカルコゲナイドおよび/または金属の浸食を軽減する。熱プロセスの場合、堆積は、約300℃など、少なくとも約250℃の温度で実施され得る。いくつかの実施形態において、開示の実施形態は、約200℃〜約275℃の間の温度など、約50℃〜約300℃の間の温度で実施される。いくつかの実施形態において、シリコン窒化物は、約50℃〜約300℃の間の温度で堆積される。いくつかの実施形態において、シリコン窒化物は、約200℃〜約275℃の間の温度で堆積される。
一部の実施形態では、オペレーション211において、任意選択的に、プラズマを点火してよい。プラズマエネルギーは、窒素含有ガスのような第2の反応物質を、第1の前駆体の吸着層と反応するイオンおよびラジカルおよび他の活性種へと活性化するために供給され得る。プラズマを伴う開示の実施形態では、プラズマに含む水素ラジカルが約1%未満であり得ることで、堆積の際のカルコゲナイドまたは金属材料の浸食を軽減する。種々の実施形態において、プラズマは、インサイチュ(in−situ)プラズマであり、これにより、チェンバ内で基板表面の上方で、直接、プラズマが生成される。約0.2122W/cm2〜約2.122W/cm2の間の基板面積当たりのパワーで、インサイチュプラズマを点火してよい。例えば、4枚の300mmウェハを処理するチェンバの場合に、パワーは、約150W〜約6000W、または約600W〜約6000W、または約800W〜約4000Wの範囲であってよい。例えば、2つの容量結合板を用いて高周波(RF)電場をガスに印加することにより、ALDプロセスのためのプラズマを発生させてよい。RF電場による板間のガスの電離によってプラズマを点火し、プラズマ放電領域内に自由電子を発生させる。これらの電子はRF電場によって加速され、気相反応物質分子と衝突し得る。これらの電子と反応物質分子との衝突によって、堆積プロセスに関与するラジカル種が形成され得る。RF電場は、任意の適切な電極によって結合され得ることは理解されるであろう。種々の実施形態において用いられる高周波プラズマは、少なくとも約13.56MHz、または少なくとも約27MHz、または少なくとも約40MHz、または少なくとも約60MHzの周波数を有する。一部の実施形態では、マイクロ波によるプラズマを用いてよい。電極の非限定的な例として、処理ガス分配シャワーヘッドと基板支持台(ペデスタル)が含まれる。ALDプロセスのためのプラズマは、RF電場をガスに容量結合する以外の1つ以上の適切な方法によって形成してよいことは理解されるであろう。一部の実施形態では、プラズマはリモートプラズマであり、第2の反応物質は、チェンバの上流のリモートプラズマ発生器内で点火されてから、基板を収容しているチェンバに供給される。
プラズマを用いる場合、ヨウ素含有シリコン前駆体のドーズの後に、窒素(N2)または水素(H2)プラズマのドーズが続き得る。基板上のカルコゲナイドまたはチェンバ部材の金属との反応によって、対応して発生し得るヨウ素含有金属塩および/または臭素含有金属塩の揮発性は、結果的にウェハの汚染につながるに足らないものであり得る。例えば、アルミニウム、ゲルマニウム、またはアンチモンを含むヨウ素含有塩は、対応する塩素含有塩よりも高い沸点を有する。その結果、ヨウ素含有塩は、蒸発層ではなく、パッシベーション層を形成することがあり、それらの塩が、基板上の材料の上に再堆積する可能性は比較的低い。場合によっては、アルミニウムが塩素と反応することがあり、これによって、チェンバは浸食されることで損傷し、また、アルミニウムは、ウェハ上に分解することもある。
図2Aに戻って、オペレーション213において、任意選択的に、チェンバは、浸食された種および残留副生成物を除去するためにパージされる。オペレーション213では、オペレーション205に関して上記した条件のいずれかを用いてパージしてよい。
図2Aのオペレーション215において、膜が所望の厚さに堆積されているかどうか判断する。そうでない場合は、膜を所望の厚さに堆積させるのに十分なサイクル数で、オペレーション203〜213を繰り返す。シリコン窒化物を所望の膜厚に堆積するために、任意の適切な数の堆積サイクルをALDプロセスに含んでよい。例えば、開示の実施形態を用いて基板上に膜を堆積するために、約50の堆積サイクルを実行してよい。いくつかの実施形態において、堆積されたシリコン窒化物膜は、メモリデバイス製造用の積層膜にわたる側壁上において約30Åよりも大きい厚さであり得る。
開示の実施形態は、様々な用途に用いられることがある。1つの用途として、低ウェットエッチング速度を実現するために、二層膜を用いてカルコゲナイドを封止することが含まれ得る。種々の堆積プロセスにおいて、先進のメモリアーキテクチャを実現するために、下層への密着性も良好でありつつ、水素ラジカルが存在しないこと、低ウェットエッチング速度(WER)であること、厳しいウェハ間均一性、高アスペクト比フィーチャを充填可能であること、さらにはライン間の低熱伝導性、が求められることがある。従来の堆積法では、これらの特性が単一の膜内で実現されない場合がある一方で、開示の実施形態は、そのような特性を有する膜を堆積するのに適し得る。
MRAMまたはPCRAMデバイスの内部で発生するジュール熱によって、そのデバイスのスイッチング挙動が決まることがあり、また、高密度メモリアレイの場合には、反復サイクル中に、周囲の温度が、結果的に隣接デバイスの抵抗劣化につながることがある。従来の膜では、上記の仕様を満たすとともに、熱クロストークが制限される可能性は低い。いくつかの応用では、パルスプラズマ(例えば、プラズマ化学気相成長法)が用いられることがあるが、結果的に低いデバイス歩留まりとなる場合があり、また、より一層アグレッシブなフィーチャを有することになる次世代ノードへの拡張が不可能である。
開示の実施形態は、上記の特性を実現可能な二層膜を堆積するために用いられることがある。緻密な低WER膜によって、後続の処理での研磨などによる浸食から封止層を保護することができる。二層膜の堆積によって、水素ラジカルをほとんどもしくは全く伴うことなく空気および湿気に対する良好なバリアを有する均一かつコンフォーマルなALD SiN層である第1の層と、低ウェットエッチング速度膜である最上層、が堆積され得る。一部の実施形態では、第1の層は、図2Aに関して上記したようなヨウ素含有シリコン前駆体を用いて堆積されるシリコン窒化物膜であってよい。種々の実施形態において、第2の層または最上層は、2つの界面を有することによって、横伝導を低減し得る。開示の実施形態では、2つ以上の膜からの特性の組み合わせを用いてよい。それらの膜のうちの1つ以上を、リモートプラズマ化学気相成長(RPCVD)プロセスによって堆積させてよい。一部の実施形態では、それらの膜のうちの1つ以上を、プラズマ化学気相成長法(PECVD)によって堆積させてよい。
種々の実施形態において、第1の層は、優れたステップカバレージを有し得るとともに、水素ラジカルまたは水素イオンは少ないかもしくは全く存在しないことがあり、さらには、下層への密着性が良好であり得る。一部の実施形態では、第1の層は、約13:1のアスペクト比を有するフィーチャに対して、最大で約100%のステップカバレッジを有し得る。また、一部の実施形態では、第1の層は非酸化膜であってもよい。非酸化膜は、下層の基板への損傷を抑えるために効果的な場合がある。
第2の層は、優れたウェットエッチング性能を有し得る。例えば、いくつかの実施形態において、希釈率100:1の希釈フッ化水素酸でのウェットエッチング速度は、約100Å/分よりも低いか、または約10Å/分よりも低いことがある。第2の層は、シリコン窒化物、シリコン炭化物、シリコン炭窒化物、シリコンオキシカーバイド、またはそれらの組み合わせ、を含み得る。膜はハーメチック(気密性)であり得る。一部の実施形態では、第2の層は、低水素放出である。特定の理論にとらわれることなく、低水素放出である第2の層によれば、水素の放出が生じにくくなり得ることによって、熱的安定性が向上するとともに、堆積中に基板を浸食または損傷する可能性が低減する。いくつかの実施形態において、第2の層は、約4:1のアスペクト比を有するフィーチャ(形状)に対して、約60%〜約90%の間のステップカバレッジを有する。
種々の実施形態において、第2の層は、フィーチャの最上部またはその近傍において非コンフォーマルなブレッドローフ(食パン形状)を形成するように堆積される。例えば、いくつかの実施形態において、第2の層は、側壁の厚さがフィーチャの最上部では最下部よりも大きくなるように堆積される。
種々の実施形態において、第2の層は、PECVDによって堆積されるシリコン炭化膜またはシリコン窒化物膜であり得る。一部の実施形態では、第2の層は、パルスPECVDによって堆積させてよい。一部の実施形態では、第2の層は、非パルスPECVDによって堆積させてよい。PECVDによるアズデポの第2の層は、高いシリコン含有量を有し得る。一部の実施形態では、第1と第2の層は、同じチェンバまたはモジュール内で堆積される。一部の実施形態では、第1と第2の層は、エアブレイク(間隙)をはさむことなく堆積される。
図2Bは、酸化層241を有する基板290の一例を示している。基板290は、2つのメモリスタックを含む。一方のスタックは、タングステン層243a、カーボン層245a、カルコゲナイド層247a、第2のカーボン層255a、第2のカルコゲナイド層257a、第3のカーボン層265a、および窒化層249aを有する。第2のスタックは、タングステン層243b、カーボン層245b、カルコゲナイド層247b、第2のカーボン層255b、第2のカルコゲナイド層257b、第3のカーボン層265b、および窒化膜249bを有する。スタックは両方とも窒化物スペーサ289を含み、さらに基板上にシリコン含有膜299が堆積されて、その膜はフィーチャの最上部にブレッドローフィングを伴っている。シリコン含有膜299は、シリコン窒化物膜、またはシリコン炭化膜、またはSiCN膜もしくはSiOC膜のような炭素含有シリコン膜であってよい。
また、図示のように、シリコン含有膜299は、最上部に非コンフォーマルなブレッドローフを形成するように生成されることもあり、これにより、スタック間にボイド240を残すことによって、スタック間に熱バリアを追加する。本明細書で記載される場合のブレッドローフィングは、約30%〜約90%の間、または約50%〜約90%の間のステップカバレッジを有する非コンフォーマルな被覆を含み得る。シリコン含有膜299は、側壁の厚さがフィーチャの最下部よりも最上部で大きくなるように堆積され得る。いくつかの実施形態において、堆積膜は、スタックの最上部またはその近傍における堆積厚さが、側壁上および/またはスタック間の基板上における堆積厚さよりも少なくとも約10%〜約50%厚くなるように、非コンフォーマルに堆積され得る。ブレッドローフィングの結果として、スタックの最上部またはその近傍において、より多く堆積されることになり、このため、膜は、スタックの最上部またはその近傍において、より厚く堆積される一方、スタック間(側壁上、およびスタック間の間隙の底面上)における堆積厚さは、より薄くなる。この非コンフォーマルな堆積の結果として、スタックの最上部またはその近傍におけるより厚い堆積によって、フィーチャ内へのさらなる堆積が妨げられることにより、側壁間にボイドが形成されることから、スタック間にボイド(空隙)が形成される。最上部に多い非コンフォーマルな堆積は、通常は回避されるが、この場合は、そのような堆積技術が効果的であり得る。図2Bは、ライン間のフィーチャの最上部またはその近傍で堆積がより多くなり得ることでブレッドローフが形成され、これにより2つのライン間にボイド240が形成される例示的な基板を示している。
上述のように、膜は低温で堆積され得る。リモートプラズマ化学気相成長(RPCVD)装置を用いたSiCおよびSiOCのようなシリコン含有かつ炭素含有の膜の堆積についてのさらなる説明は、2013年5月31日に出願された「METHOD TO OBTAIN SIC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES(所望の組成および膜特性のSIC膜群を得る方法)」と題する米国特許出願第13/907,699号(代理人整理番号LAMRP046)かつ2016年1月12日に発行された米国特許第9,234,276号、2012年6月21日に出願された「REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS(リモートプラズマによるSiOC膜群の堆積)」と題する米国特許出願第13/494,836号(代理人整理番号NOVLP466)、2015年2月6日に出願された「CONFORMAL DEPOSITION OF SILICON CARBIDE FILMS(シリコン炭化膜のコンフォーマル堆積)」と題する米国特許出願第14/616,435号(代理人整理番号LAMRP175)、および2015年5月14日に出願された「MINIMIZING RADICAL RECOMBINATION USING ALD SILICON OXIDE SURFACE COATING WITH INTERMITTENT RESTORATION PLASMA(ALDシリコン酸化物表面コーティングを回復用の間欠プラズマと共に用いたラジカル再結合の最小化)」と題する米国特許出願第14/712,167号(代理人整理番号LAMRP169)、にさらに記載されており、これらの文献はいずれも、その全体が参照により本明細書に組み込まれる。
図3は、開示の実施形態による例示的なパルスのタイミングシーケンス図である。図3は、キャリアガスまたはパージガス流、ヨードシラン前駆体流および/またはブロモシラン前駆体流、第2の反応物質流、などの各種プロセスパラメータについて、例示的なALDプロセス300におけるいくつかのフェーズを示している。それらのラインは、流入をオン・オフするときを示している。なお、図3に提示された例には、プラズマをオン・オフすることが含まれていないが、種々の実施形態において、第2の反応ガスでプラズマを生成するためにプラズマを用いてよいということに留意すべきである。プロセスパラメータの例として、不活性種および反応種の流量、基板温度、処理チェンバ圧力、が含まれるが、ただしこれらに限定されない。
2つの堆積サイクル310Aおよび310Bを示している。それぞれの堆積サイクルは、各種フェーズを含む。例えば、堆積サイクル310Aは、(図3にヨードシランとして示す)ヨウ素含有シリコン前駆体および/または(図3にブロモシランとして示す)臭素含有シリコン前駆体への暴露フェーズ357Aと、パージフェーズ359Aと、第2の反応物質(例えば、窒素反応物質)への暴露フェーズ361Aと、パージフェーズ363Aと、を含む。同様に、堆積サイクル310Bは、還元剤フェーズ353Bと、パージフェーズ355Bと、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体への暴露フェーズ357Bと、別のパージフェーズ359Bと、窒素反応物質への暴露フェーズ361Bと、パージフェーズ363Bと、を含む。図示のように、例示的なプロセス300では、堆積サイクル310Aおよび310Bの全体を通して、キャリアガスを流入させる。種々の実施形態において、キャリアガスをパージガスとして用いる。一部の実施形態では、キャリアガスは、パージガスと異なるものであってよい。一部の実施形態では、キャリアガスを、パージフェーズ(例えば、355A、359A、363A、355B、359B、363B)の間のみ流入させる。キャリアガスは、図2Aに関して上記したもののいずれかであってよい。
ヨウ素含有シリコン前駆体への暴露フェーズ357Aでは、ヨウ素含有シリコン前駆体流および/または臭素含有シリコン前駆体流ならびにキャリアガス流をオンにする一方、還元剤流および窒素反応物質流をオフにする。これは、図2Aのオペレーション203に相当し得る。パージフェーズ359Aでは、キャリアガス流をオンにする一方、ヨウ素含有シリコン前駆体流および/または臭素含有シリコン前駆体流、還元剤流、ならびに窒素反応物質流をオフにする。これは、図2Aのオペレーション205に相当し得る。窒素反応物質への暴露フェーズ361Aでは、キャリアガス流および窒素反応物質流をオンにする一方、ヨウ素含有シリコン前駆体流および/または臭素含有シリコン前駆体流ならびに還元剤流をオフにする。なお、一部の実施形態では、選択される窒素反応物質およびプロセス条件に応じて、このフェーズでプラズマをオンにしてよいということに留意すべきである。このフェーズは、図2Aのオペレーション211に相当し得る。図3に戻って、パージフェーズ363Aでは、キャリアガス流をオンにする一方、ヨウ素含有シリコン前駆体流および/または臭素含有シリコン前駆体流、還元剤流、ならびに窒素反応物質流をオフにする。なお、図3に示すように、これらのフェーズは、その後、図2Aのオペレーション215で膜が適切な厚さに堆積されていないと判断された場合には、堆積サイクル310Bで繰り返してよいということに留意すべきである。従って、このとき、堆積サイクル310Bは、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体への暴露フェーズ357Bと、その後に続くパージフェーズ359Bと、窒素反応物質への暴露フェーズ361Bと、その後のパージフェーズ363Bと、を含み得る。これらのフェーズは、図3に示すように、キャリアガス、ヨウ素含有シリコン前駆体ガスおよび/または臭素含有シリコン前駆体ガス、窒素反応ガスの流入について、堆積サイクル310Aにおけるのと同じ対応する流入パターンを有し得る。
装置
図4は、低圧環境を維持するための処理チェンバ本体402を備えた原子層堆積(ALD)プロセスステーション400の実施形態の概略図を示している。共通の低圧プロセスツール環境の中に、複数のALDプロセスステーション400を含み得る。例えば、図5は、マルチステーション・プロセスツール500の実施形態を示している。一部の実施形態では、詳細に後述するものなど、ALDプロセスステーション400の1つ以上のハードウェアパラメータを、1つ以上のコンピュータコントローラ450によってプログラムで調整してよい。
ALDプロセスステーション400は、処理ガスを分配シャワーヘッド406に供給するための反応物質供給システム401aと流体連通している。反応物質供給システム401aは、ヨウ素含有シリコン前駆体ガスおよび/または臭素含有シリコン前駆体ガスのような処理ガスまたは第2の反応ガス(例えば、アンモニアまたはヒドラジン)を、シャワーヘッド406への供給用に配合および/または調整するための混合容器404を有する。1つ以上の混合容器入口弁420によって、混合容器404への処理ガスの導入を制御してよい。また、窒素プラズマまたは水素プラズマを、同じくシャワーヘッド406に供給してもよく、またはALDプロセスステーション400で生成されてもよい。
一例として、図4の実施形態では、混合容器404に供給される液状反応物質を気化させるための気化ポイント403を含む。一部の実施形態では、気化ポイント403は、加熱蒸発器であってよい。このような蒸発器で生成される飽和反応物蒸気は、下流の送り管内で凝縮し得る。その凝縮反応物に不適合ガスが暴露されると、小粒子が形成されることがある。これらの小粒子は、配管を詰まらせ、弁の動作を妨げ、基板を汚染するなどの恐れがある。これらの問題に対処するアプローチには、残留反応物を除去するために、送り管のパージおよび/または排気を伴うものがある。しかしながら、送り管のパージによって、プロセスステーションのサイクル時間が長くなり、プロセスステーションのスループットが低下することがある。そこで、一部の実施形態では、気化ポイント403の下流の送り管を、ヒートトレースしてよい。いくつかの例では、混合容器404もまた、ヒートトレースしてよい。非限定的な一例において、気化ポイント403の下流の配管は、約100℃から混合容器404における約150℃に及ぶ昇温プロファイルを有する。
一部の実施形態では、液体注入器において、液状前駆体または液状反応物質を気化させてよい。例えば、液体注入器で、混合容器の上流のキャリアガス流に液状反応物質のパルスを注入してよい。一実施形態では、液体注入器で、液体をより高圧側からより低圧側へ勢いよく流すことによって、反応物質を気化させてよい。別の例では、液体注入器で、液体を霧化して分散微小液滴にし、それらを、その後、加熱された送り管内で気化させてよい。小さい液滴のほうが大きい液滴よりも速く気化し得ることで、液体注入から完全な気化までの遅延が短縮される。気化が速いほど、気化ポイント403よりも下流の配管の長さは短縮され得る。1つのシナリオでは、液体注入器を、混合容器404に直接取り付けてよい。別のシナリオでは、液体注入器を、シャワーヘッド406に直接取り付けてよい。
一部の実施形態では、気化させてプロセスステーション400に供給する液体の質量流量を制御するために、気化ポイント403の上流に液流量コントローラ(LFC)を設けてよい。例えば、LFCは、LFCの下流に配置された熱式質量流量計(MFM)を有し得る。この場合、MFMと電気導通している比例積分微分(PID)コントローラによって供給されるフィードバック制御信号に応じて、LFCのプランジャ弁を調整してよい。しかしながら、フィードバック制御を用いて液流を安定化するには1秒以上を要することがある。これによって、液状反応物質をドーズする時間が長くなることがある。そこで、一部の実施形態では、LFCを、フィードバック制御モードと直接制御モードとの間で動的に切り替えてよい。一部の実施形態では、これを、LFCの検知管およびPID制御を無効にすることによって実施してよい。
シャワーヘッド406は、処理ガスを基板412に向けて分配する。図4に示す実施形態では、基板412は、シャワーヘッド406の下方に配置されており、ペデスタル408の上に載置されているところを示している。シャワーヘッド406は、任意の適切な形状を有するものであってよく、また、基板412に処理ガスを分配するための任意の適切な数および配置のポートを有し得る。
一部の実施形態では、基板412とシャワーヘッド406との間のボリュームに基板412を暴露するために、ペデスタル408を上昇または下降させてよい。なお、一部の実施形態では、ペデスタルの高さを、適切なコンピュータコントローラ450によってプログラムで調整してよいことは理解されるであろう。
別のシナリオでは、プラズマを点火する実施形態において、ペデスタル408の高さを調整することによって、プロセスにおけるプラズマ活性化サイクル中にプラズマ密度を変化させることが可能となり得る。プロセスフェーズの終わりに、別の基板搬送フェーズにおいて、ペデスタル408からの基板412の取り外しを可能とするために、ペデスタル408を下降させてよい。
一部の実施形態では、ヒータ410によって、ペデスタル408を温度制御してよい。開示の実施形態で記載したようなシリコン窒化物膜の堆積時に、一部の実施形態では、ペデスタル408を少なくとも約250℃の温度に加熱してよく、または、一部の実施形態では、約250℃など、約300℃よりも低い温度に加熱してよい。いくつかの実施形態において、ペデスタルは、約200℃〜約275℃の間の温度など、約50℃〜約300℃の間の温度に設定される。いくつかの実施形態において、ペデスタルは、約50℃〜約300℃の間の温度に設定される。いくつかの実施形態において、ペデスタルは、約200℃〜約275℃の間の温度に設定される。
さらに、一部の実施形態では、バタフライ弁418によって、プロセスステーション400のための圧力制御を提供してよい。図4の実施形態に示すように、バタフライ弁418は、下流の真空ポンプ(図示せず)によって提供される真空をスロットル調整する。しかしながら、一部の実施形態では、プロセスステーション400に導入される1種以上のガスの流量を変化させることによって、プロセスステーション400の圧力制御を調整してもよい。
一部の実施形態では、基板412とシャワーヘッド406との間のボリュームを変化させるために、ペデスタル408に対するシャワーヘッド406の相対位置を調整してよい。また、ペデスタル408および/またはシャワーヘッド406の垂直位置は、本開示の範囲内で、任意の適切な機構によって変更してよいことは理解されるであろう。一部の実施形態では、ペデスタル408は、基板412の向きを回転させるための回転軸を有し得る。なお、一部の実施形態では、これらの例示的な調整のうちの1つ以上を、1つ以上の適切なコンピュータコントローラ450によってプログラムで実行してよいことは理解されるであろう。
上述のようにプラズマが使用され得るいくつかの実施形態では、シャワーヘッド406およびペデスタル408は、プラズマにパワーを供給するための高周波(RF)電源414および整合回路416と電気導通している。いくつかの実施形態において、プロセスステーション圧力、ガス濃度、RF源パワー、RF源周波数、プラズマパワーパルスタイミング、のうちの1つ以上を制御することによって、プラズマエネルギーを制御してよい。例えば、所望の組成のラジカル種を有するプラズマを発生させるために、任意の適切なパワーでRF電源414および整合回路416を作動させてよい。適切なパワーの例については、上記に記載がある。同様に、RF電源414は、任意の適切な周波数のRF電力を供給し得る。一部の実施形態では、RF電源414は、高周波と低周波のRF電源を互いに独立に制御するように構成されてよい。低周波RF周波数の例として、0kHz〜500kHzの間の周波数が含まれ得るが、ただし、これに限定されない。高周波RF周波数の例として、1.8MHz〜2.45GHzの間の周波数、または約13.56MHz超の周波数、または27MHz超の周波数、または40MHz超の周波数、または60MHz超の周波数が含まれ得るが、ただし、これらに限定されない。なお、表面反応のためのプラズマエネルギーを供給するために、任意の適切なパラメータを離散変調または連続変調してよいことは理解されるであろう。
一部の実施形態では、1つ以上のプラズマモニタによって、プラズマをインサイチュ監視してよい。1つのシナリオでは、1つ以上の電圧、電流センサ(例えば、VIプローブ)によって、プラズマパワーを監視してよい。別のシナリオでは、1つ以上の発光分光センサ(OES)によって、プラズマ密度および/または処理ガス濃度を測定してよい。いくつかの実施形態において、そのようなインサイチュプラズマモニタからの測定値に基づいて、1つ以上のプラズマパラメータをプログラムで調整してよい。例えば、プラズマパワーのプログラム制御を提供するために、OESセンサをフィードバックループで用いてよい。いくつかの実施形態において、プラズマおよび他のプロセス特性を監視するために、他のモニタを用いてよいことは理解されるであろう。そのようなモニタとして、赤外線(IR)モニタ、音響モニタ、圧力トランスデューサが含まれ得るが、ただし、これらに限定されない。
一部の実施形態では、コントローラ450への命令は、入力/出力制御(IOC)シーケンシング命令によって提供され得る。一例では、あるプロセスフェーズの条件を設定するための命令は、プロセスレシピの対応するレシピフェーズに含まれ得る。場合によっては、プロセスレシピフェーズは、あるプロセスフェーズの全ての命令がそのプロセスフェーズと同時に実行されるように、順に配列されることがある。いくつかの実施形態において、あるレシピフェーズは、1つ以上の反応器パラメータを設定するための命令を含み得る。例えば、第1のレシピフェーズは、不活性ガスおよび/または反応ガス(例えば、ヨウ素含有シリコン前駆体および/または臭素含有シリコン前駆体のような第1の前駆体)の流量を設定するための命令と、(アルゴンのような)キャリアガスの流量を設定するための命令と、第1のレシピフェーズのための時間遅延命令と、を含み得る。その後に続く第2のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を変調または停止するための命令と、キャリアガスまたはパージガスの流量を変調するための命令と、第2のレシピフェーズのための時間遅延命令と、を含み得る。第3のレシピフェーズは、アンモニアのような第2の反応ガスの流量を変調するための命令と、キャリアガスまたはパージガスの流量を変調するための命令と、第3のレシピフェーズのための時間遅延命令と、を含み得る。その後に続く第4のレシピフェーズは、不活性ガスおよび/または反応ガスの流量を変調または停止するための命令と、キャリアガスまたはパージガスの流量を変調するための命令と、第4のレシピフェーズのための時間遅延命令と、を含み得る。なお、これらのレシピフェーズは、開示の実施形態の範囲内で、任意の適切な形態で、さらに細分化および/または反復されてよいことは理解されるであろう。いくつかの実施形態において、コントローラ450は、図5のシステムコントローラ550に関して後述される機能のいずれかを有し得る。
上述のように、マルチステーション・プロセスツールに、1つ以上のプロセスステーションが含まれることがある。図5は、マルチステーション・プロセスツール500の実施形態の概略図を示しており、これは、搬入ロードロック502と搬出ロードロック504とを有し、それらの一方または両方に、リモートプラズマ源を備え得る。ロボット506は、大気圧で、ポッド508を通してロードされたカセットからのウェハを、大気ポート510を通して搬入ロードロック502に移動させるように構成されている。ウェハは、ロボット506によって、搬入ロードロック502内のペデスタル512の上に載せられ、大気ポート510が閉じられて、ロードロックはポンプダウンされる。搬入ロードロック502がリモートプラズマ源を含む場合、ウェハは、処理チェンバ514内に導入される前に、ロードロック内でリモートプラズマ処理が施される場合がある。さらに、ウェハは、例えば水分および吸着ガスを除去するために、搬入ロードロック502内で加熱されることもある。次に、処理チェンバ514へのチェンバ搬入ポート516が開放されて、別のロボット(図示せず)により、ウェハは、処理のために反応器に入れられ、反応器内に示す第1のステーションのペデスタルの上に載せられる。図5に示す実施形態ではロードロックを備えているが、一部の実施形態では、プロセスステーション内へのウェハの直接搬入ができるものであってもよいことは理解されるであろう。
図示の処理チェンバ514は、図5に示す実施形態では1〜4の番号が付された4つのプロセスステーションを有する。それぞれのステーションは、加熱されるペデスタル(ステーション1に518で示す)と、ガスライン入口とを備える。いくつかの実施形態において、それぞれのプロセスステーションは、異なる目的または複数の目的を持つものであり得ることは理解されるであろう。例えば、一部の実施形態では、あるプロセスステーションは、ALDプロセスモードとプラズマALDプロセスモードとの間で切り替え可能であってよい。追加的または代替的に、一部の実施形態では、処理チェンバ514は、ALDプロセスステーションとプラズマALDプロセスステーションのペアを1組以上含み得る。図示の処理チェンバ514は4つのステーションを備えるものであるが、本開示に係る処理チェンバは、任意の適切な数のステーションを備え得ることは理解されるであろう。例えば、一部の実施形態では、処理チェンバは、5つ以上のステーションを備え得る一方、他の実施形態では、処理チェンバは、3つ以下のステーションを備えることがある。
図5は、処理チェンバ514内でウェハを移送するためのウェハハンドリングシステム590の一実施形態を示している。いくつかの実施形態において、ウェハハンドリングシステム590は、複数のプロセスステーションの間、および/またはプロセスステーションとロードロックとの間でウェハを移送し得る。なお、任意の適切なウェハハンドリングシステムを採用してよいことは理解されるであろう。非限定的な例として、ウェハカルーセルおよびウェハハンドリングロボットが含まれる。図5は、さらに、プロセスツール500のプロセス条件およびハードウェア状態を制御するために採用されるシステムコントローラ550の一実施形態を示している。システムコントローラ550は、1つ以上のメモリデバイス556と、1つ以上の大容量記憶装置554と、1つ以上のプロセッサ552と、を備え得る。プロセッサ552は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入力/出力接続、ステッピングモータコントローラボードなどを含み得る。
一部の実施形態では、システムコントローラ550は、プロセスツール500の動作のすべてを制御する。システムコントローラ550は、システム制御ソフトウェア558を実行し、これは大容量記憶装置554に保存されて、メモリデバイス556にロードされて、プロセッサ552で実行される。あるいは、制御ロジックは、コントローラ550にハードコーディングされてもよい。このような目的で、特定用途向け集積回路、プログラマブルロジックデバイス(例えば、フィールドプログラマブルゲートアレイ、すなわちFPGA)などを用いてよい。以下の説明において、「ソフトウェア」または「コード」が用いられる場合には、いずれも、機能的に同等のハードコーディングされたロジックが代わりに用いられてもよい。システム制御ソフトウェア558は、タイミング、ガスの混合、ガス流量、チェンバおよび/またはステーションの圧力、チェンバおよび/またはステーションの温度、ウェハ温度、目標パワーレベル、RF電力レベル、基板ペデスタル、チャックおよび/またはサセプタの位置、ならびにプロセスツール500によって実施される具体的なプロセスのその他のパラメータを制御するための命令を含み得る。システム制御ソフトウェア558は、任意の適切な形態で構成されてよい。例えば、様々なプロセスツールプロセスを実施するために使用されるプロセスツール構成要素の動作を制御するための、各種プロセスツール構成要素サブルーチンまたは制御オブジェクトが作成され得る。システム制御ソフトウェア558は、任意の適切なコンピュータ可読プログラミング言語でコーディングされてよい。
いくつかの実施形態において、システム制御ソフトウェア558は、上記の各種パラメータを制御するための入力/出力制御(IOC)シーケンシング命令を含み得る。いくつかの実施形態において、システムコントローラ550に関連付けられた大容量記憶装置554および/またはメモリデバイス556に保存されるその他のコンピュータソフトウェアおよび/またはプログラムを採用してよい。本目的のためのプログラムまたはプログラム部分の例には、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムが含まれる。
基板位置決めプログラムは、基板をペデスタル518の上にロードするために、さらには、基板とプロセスツール500のその他部材との間隔を制御するために使用されるプロセスツール構成要素のための、プログラムコードを含み得る。
処理ガス制御プログラムは、ガス組成(例えば、本明細書に記載されているような、ヨウ素含有シリコン前駆体ガス、窒素含有ガス、キャリアガスおよびパージガス)および流量を制御するための、さらにはオプションとして、堆積前にプロセスステーション内の圧力を安定化させるために1つ以上のプロセスステーションにガスを流入させるための、コードを含み得る。圧力制御プログラムは、例えば、プロセスステーションの排気システムのスロットル弁やプロセスステーションに流入するガス流などを調節することによってプロセスステーション内の圧力を制御するための、コードを含み得る。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含み得る。あるいは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御し得る。
プラズマ制御プログラムは、本明細書に記載の実施形態に従って1つ以上のプロセスステーション内のプロセス電極に印加されるRF電力レベルを設定するためのコードを含み得る。
圧力制御プログラムは、本明細書に記載の実施形態に従って反応チェンバ内の圧力を維持するためのコードを含み得る。
いくつかの実施形態において、システムコントローラ550に関連付けられたユーザインタフェースが設けられることがある。ユーザインタフェースとして、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェア表示と、さらに、ポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力装置が含まれることがある。
いくつかの実施形態において、システムコントローラ550によって調整されるパラメータは、プロセス条件に関連したものであり得る。非限定的な例として、処理ガスの組成および流量、温度、圧力、(RFバイアスパワーレベルのような)プラズマ条件、などが含まれる。これらのパラメータは、レシピの形でユーザに提供されてよく、ユーザインタフェースを利用して入力されてよい。
プロセスの監視のための信号が、各種プロセスツールセンサから、システムコントローラ550のアナログおよび/またはデジタル入力接続によって供給され得る。プロセスを制御するための信号が、プロセスツール500のアナログおよびデジタル出力接続に出力され得る。監視され得るプロセスツールセンサの非限定的な例として、マスフローコントローラ、(マノメータのような)圧力センサ、熱電対、などが含まれる。プロセス条件を維持するために、これらのセンサからのデータと共に、適切にプログラムされたフィードバックおよび制御アルゴリズムが用いられることがある。
システムコントローラ550は、上記の堆積プロセスを実施するためのプログラム命令を提供し得る。それらのプログラム命令は、DC電力レベル、RFバイアスパワーレベル、圧力、温度などのような様々なプロセスパラメータを制御し得るものである。それらの命令は、本明細書に記載の種々の実施形態による積層膜のインサイチュ堆積に作用するようにパラメータを制御するものであり得る。
システムコントローラ550は、典型的には、1つ以上のメモリデバイスと、開示の実施形態による方法を装置で実施するための命令を実行するように構成された1つ以上のプロセッサと、を有する。開示の実施形態によるプロセス工程を制御するための命令を含む機械可読媒体は、システムコントローラ550に結合されている場合がある。
いくつかの実現形態において、システムコントローラ550は、上記の例の一部であり得るシステムの一部である。そのようなシステムは、プロセスツールもしくはいくつかのツール、チェンバもしくはいくつかのチェンバ、処理用プラットフォームもしくはいくつかのプラットフォーム、および/または特定の処理コンポーネント(ウェハペデスタル、ガスフローシステムなど)、などの半導体処理装置を備えることができる。これらのシステムは、半導体ウェハまたは基板の処理前、処理中、処理後のそれらのオペレーションを制御するための電子装置と統合され得る。電子装置は、「コントローラ」と呼ばれることがあり、これにより、そのシステムまたはそれらのシステムの各種コンポーネントまたはサブパーツを制御してよい。システムコントローラ550は、処理条件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、パワー設定、高周波(RF)発生器の設定、RF整合回路の設定、周波数設定、流量設定、流体供給の設定、位置および動作設定、ツールとの間および他の移送ツールとの間および/または特定のシステムに接続またはインタフェースしているロードロックとの間のウェハ移送を含む、本明細書に開示の任意のプロセスを制御するようにプログラムされ得る。
システムコントローラ550は、広義には、種々の集積回路、ロジック(論理回路)、メモリと、さらに/または、命令を受け取り、命令を発行し、オペレーションを制御し、クリーニング動作を実現し、終点測定を実現するなどのソフトウェアと、を有する電子装置と定義され得る。集積回路には、プログラム命令を格納したファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラ、が含まれ得る。プログラム命令は、半導体ウェハ上での特定のプロセスまたは半導体ウェハのための特定のプロセスまたはシステムに対する特定のプロセスを実行するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形でシステムコントローラ550に伝達される命令であり得る。動作パラメータは、一部の実施形態では、ウェハの1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはダイの製造もしくは除去において1つ以上の処理工程を実現するために、プロセスエンジニアによって規定されるレシピの一部であり得る。
システムコントローラ550は、いくつかの実現形態において、システムに統合もしくは接続されるか、またはその他の方法でシステムにネットワーク接続されたコンピュータの一部であるか、またはそのようなコンピュータに接続されたものであるか、またはそれらの組み合わせであり得る。例えば、システムコントローラ550は、「クラウド」にあるか、またはファブホストコンピュータシステムの全体もしくは一部であってよく、それは、ウェハ処理のためのリモートアクセスを可能とするものであり得る。コンピュータによって、製造オペレーションの現在の進行状況を監視し、過去の製造オペレーションの履歴を調査し、複数の製造オペレーションからの傾向またはパフォーマンスメトリックを調査するため、現在の処理のパラメータを変更するため、現在の処理に従って処理工程を設定するため、または、新たなプロセスを開始するための、システムへのリモートアクセスが実現され得る。いくつかの例において、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含み得るネットワークを介して、システムにプロセスレシピを提供することができる。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能とするユーザインタフェースを有してよく、それらは、その後、リモートコンピュータからシステムに伝達される。一部の例では、システムコントローラ550は、1つ以上のオペレーションにおいて実行される処理工程の各々のパラメータを指定するデータの形で命令を受け取る。なお、それらのパラメータは、実施されるプロセスのタイプ、およびシステムコントローラ550がインタフェースまたは制御するように構成されているツールのタイプ、に固有のものであり得ることは、理解されなければならない。その場合、上述のように、相互にネットワーク接続されているとともに、本明細書に記載のプロセスおよび制御などの共通の目的に向かって協働する1つ以上の別個のコントローラを備えることなどによって、システムコントローラ550を分散させてよい。このような目的の分散コントローラの一例は、チェンバに搭載する1つ以上の集積回路であり、これらは、(プラットフォームレベルで、またはリモートコンピュータの一部として、など)遠隔配置された1つ以上の集積回路と通信し、共同でチェンバにおけるプロセスを制御する。
例示的なシステムは、限定するものではないが、プラズマエッチングチェンバまたはモジュール、成膜チェンバまたはモジュール、スピンリンスチェンバまたはモジュール、金属メッキチェンバまたはモジュール、クリーンチェンバまたはモジュール、ベベルエッジエッチングチェンバまたはモジュール、物理気相成長(PVD)チェンバまたはモジュール、化学気相成長(CVD)チェンバまたはモジュール、ALDチェンバまたはモジュール、原子層エッチング(ALE)チェンバまたはモジュール、イオン注入チェンバまたはモジュール、トラックチェンバまたはモジュール、ならびに半導体ウェハの製作および/または製造に関連または使用することがある他の任意の半導体処理システム、を含み得る。
上述のように、ツールによって実行される処理工程またはいくつかの工程に応じて、システムコントローラ550は、他のツール回路またはモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近隣のツール、工場の至るところに配置されたツール、メインコンピュータ、他のコントローラ、または半導体製造工場においてツール場所および/またはロードポートとの間でウェハの容器を移動させる材料搬送で使用されるツール、のうちの1つ以上と通信し得る。
本明細書で開示された方法を実施するための適切な装置は、2011年4月11日に出願された「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION(プラズマ活性化によるコンフォーマル膜堆積)」と題する米国特許出願第13/084,399号(現在の米国特許第8,728,956号)および2011年4月11日に出願された「SILICON NITRIDE FILMS AND METHODS(シリコン窒化物膜および方法)」と題する米国特許出願第13/084,305号において、さらに解説および記載されており、これらの文献の各々は、その全体が本明細書に組み込まれる。
本明細書に記載の装置/プロセスは、例えば、半導体デバイス、ディスプレイ、LED、太陽電池パネルなどの作製または製造のために、リソグラフィパターニング・ツールまたはプロセスと組み合わせて用いてよい。一般に、そのようなツール/プロセスは、必ずしもそうではないが、共通の製造設備で一緒に使用または実施される。膜のリソグラフィパターニングは、通常、以下の工程の一部またはすべてを含み、各工程は、いくつかの考え得るツールを用いて実施される。(1)スピン式またはスプレー式のツールを用いて、ワークピースすなわち基板の上にフォトレジストを塗布する;(2)ホットプレートまたは炉またはUV硬化ツールを用いて、フォトレジストを硬化させる;(3)ウェハステッパなどのツールによって、可視光線または紫外線またはX線でフォトレジストを露光する;(4)ウェットベンチなどのツールを用いて、選択的にレジストを除去するようにレジストを現像し、これによりパターンを形成する;(5)ドライまたはプラズマアシスト・エッチングツールを用いて、レジストパターンを下の膜またはワークピースに転写する;(6)RFまたはマイクロ波プラズマ・レジストストリッパなどのツールを用いて、レジストを剥離する。
実験
実験1
12インチウェハ上にALDによってジヨードシランを用いて100ÅのSiNを堆積させた場合の表面汚染量を評価するための実験を実施した。以下の表2に示す金属について、膜を堆積させた後の汚染レベルを評価した。ジクロロシランによる結果としての金属の表面濃度は、アルミニウムについては100×1010原子/cm2超、銅については5×1010原子/cm2超、鉄については5×1010原子/cm2超となる。表2に示すように、ジヨードシランによってシリコン窒化物膜を堆積させた場合のウェハ上の金属汚染は、ジクロロシランによって堆積させた膜の金属汚染よりもはるかに低かった。
実験2
ジヨードシランによって堆積させたシリコン窒化物材料、およびジクロロシランによって堆積させたシリコン窒化物材料について、フーリエ変換赤外分光(FTIR)スペクトルを生成した。ヨードシランによって堆積させたシリコン窒化物材料は、N−H結合のみを示し、不安定なSi−H結合の生成は示さなかった。
実験3
テトラヨードシランを用いたシリコン窒化物の堆積と、テトラブロモシランを用いたシリコン窒化物の堆積について、実験を実施した。テトラヨードシランを用いたシリコン窒化物の堆積は、テトラヨードシランとアンモニアの交互のパルスを用いた原子層堆積(ALD)によって、0.55Å/サイクルの堆積速度で実施した。結果として得られた膜は、95%よりも高いステップカバレッジを有していた。
テトラブロモシランを用いたシリコン窒化物の堆積は、テトラブロモシランとアンモニアの交互のパルスを用いたALDによって、0.37Å/サイクルの堆積速度で実施した。結果として得られた膜は、95%よりも高いステップカバレッジを有していた。なお、注目されるのは、14:1のアスペクト比を有するフィーチャへの堆積で、高いコンフォーマル性および均一性を示したということである(図6Aを参照)。
テトラブロモシランによって堆積させたシリコン窒化物材料について、フーリエ変換赤外分光(FTIR)スペクトルを生成した。FTIRスペクトルを図6Bに示しており、これにより、ピークおよび波長位置601、603、605、607、609が、次のように確認される:601はSi−N−Si結合(885cm-1)を表しており、603はSi2N−H結合(1180cm-1)を表しており、605はN−H2結合を表しており、607はSi−H結合を表しており、609はSi2N−H結合(3350cm-1)を表している。テトラブロモシランによって堆積させたシリコン窒化物材料は、わずかなSi−H結合と、多くのSi−N−Si結合を示した。
テトラヨードシランとテトラブロモシランによって堆積させた両方の膜について測定された密度は、2.2g/ccであった。膜は両方とも、高いN−H含有量を有する。膜は、希釈率100:1の希釈フッ化水素酸でのウェットエッチング速度について、高いウェットエッチング速度を示したが、これらの結果によって、ブロモシランはシリコン窒化物を堆積させるためのシリコン前駆体として有力な候補であることが示唆された。
実験4
テトラブロモシランによって堆積されたシリコン窒化物膜をNH3プラズマに暴露することを伴う実験を実施した。バリア層を有していないGST(ゲルマニウム、アンチモン、テルル)基板を、NH3プラズマに60秒間さらした。結果として得られた基板の組成を図7Aに示している。基板は、Ge:Sb:Te比が1:0.7:1.5であった。
テトラブロモシランとNH3の交互のパルスを伴う熱ALDによって、バリア層をGST基板上に堆積させた。結果として得られた基板の組成を図7Bに示している。基板は、Ge:Sb:Te比が1:0.9:2.0であった。
テトラブロモシランとNH3の交互のパルスを伴う107サイクルの熱ALDによって堆積させたバリア層を有するGST基板を、NH3プラズマに60秒間暴露した。結果として得られた基板の組成を図7Cに示している。基板は、Ge:Sb:Te比が1:0.9:2.2であった。図7Aに関して上記した基板と比較すると、テトラブロモシランを用いて堆積させたシリコン窒化物膜によって、GST基板がNH3プラズマで損傷されることが回避された。
実験5
テトラブロモシランによって堆積させた様々に異なる厚さのシリコン窒化物膜をアンモニアプラズマに暴露することを伴う実験を実施した。第1のGST基板上に、テトラブロモシランとNH3の交互のパルスを伴う60サイクルの熱ALDによって、22Å(0.37Å/サイクルの堆積速度を用いて計算された厚さ)の膜を形成するように、シリコン窒化物を堆積させた。そのシリコン窒化物を含む基板を、NH3プラズマに60秒間暴露した。結果として得られた基板の組成を図8Aに示している。Ge:Sb:Te比は、1:0.9:2.0であった。いくらかのゲルマニウムの表面損失およびバルクテルルが観測された。
第2のGST基板上に、テトラブロモシランとNH3の交互のパルスを伴う83サイクルの熱ALDによって、31Å(0.37Å/サイクルの堆積速度を用いて計算された厚さ)の膜を形成するように、シリコン窒化物を堆積させた。そのシリコン窒化物を含む基板を、NH3プラズマに60秒間暴露した。結果として得られた基板の組成を図8Bに示している。Ge:Sb:Te比は、1:0.9:1.9であった。いくらかのゲルマニウムの表面損失およびバルクテルルが観測された。
第3のGST基板上に、テトラブロモシランとNH3の交互のパルスを伴う107サイクルの熱ALDによって、40Å(0.37Å/サイクルの堆積速度を用いて計算された厚さ)の膜を形成するように、シリコン窒化物を堆積させた。そのシリコン窒化物を含む基板を、NH3プラズマに60秒間暴露した。結果として得られた基板の組成を図8Cに示している。Ge:Sb:Te比は、1:0.9:2.2であった。損失は、ほとんどもしくは全く観測されなかった。前駆体としてテトラブロモシランを用いて少なくとも40Åの厚さに堆積させたシリコン窒化物膜によって、GST基板をNH3プラズマから十分に保護することが可能であると結論付けることができる。
結論
上記の実施形態は、明確な理解を目的として、ある程度詳細に記載しているが、添付の請求項の範囲内でいくらかの変更および変形を実施してよいことは明らかであろう。なお、本発明の実施形態のプロセス、システム、および装置を実現する数多くの代替的形態があることに留意すべきである。よって、本発明の実施形態は例示とみなされるべきであって、限定するものではなく、また、実施形態は、本明細書で提示した詳細に限定されるものではない。

Claims (19)

  1. カルコゲナイド材料を封止する方法であって、
    (a)前記カルコゲナイド材料の1つ以上の露出層を有する基板を、チェンバに供給することと、
    (b)前記基板を、塩素フリー臭素含有シリコン前駆体が前記基板の表面に吸着することを可能とする条件下で塩素フリー臭素含有シリコン前駆体に暴露することによって、前記塩素フリー臭素含有シリコン前駆体の吸着層を形成することと、
    (c)第2の反応物質に前記基板を暴露することによって、前記カルコゲナイド材料の上にシリコン窒化物膜を形成することと、を含む方法。
  2. 請求項1に記載の方法であって、
    前記塩素フリー臭素含有シリコン前駆体は、
    x=1、及び、yを1≦y≦4かつy+z=4の整数とする化学式SixBryzの化合物と、
    x=2、及び、yを1≦y≦6かつy+z=6の整数とする化学式SixBryzの化合物と、
    からなる群から選択される、方法。
  3. 請求項2に記載の方法であって、
    前記塩素フリー臭素含有シリコン前駆体は、テトラブロモシラン(SiBr4)、SiBr3I、SiBr22、SiBrI3、ヘキサブロモジシラン(Si2Br6)、Si2Br5I、Si2Br42、Si2Br33、Si2Br24、Si2BrI5、およびこれらの組み合わせ、からなる群から選択される、方法。
  4. カルコゲナイド材料を封止する方法であって、
    (a)前記カルコゲナイド材料の1つ以上の露出層を有する基板を、チェンバに供給することと、
    (b)前記基板を、ジヨードシランが前記基板の表面に吸着することを可能とする条件下でジヨードシランに暴露することによって、前記ジヨードシランの吸着層を形成することと、
    (c)第2の反応物質に前記基板を暴露することによって、前記カルコゲナイド材料の上にシリコン窒化物膜を形成することと、を含む方法。
  5. 請求項1〜4のいずれかに記載の方法であって、
    前記シリコン窒化物を、少なくとも約40Åの厚さに堆積させる、方法。
  6. 請求項1〜4のいずれかに記載の方法であって、
    前記第2の反応物質は、アンモニアとヒドラジンとからなる群から選択されるものであり、
    前記シリコン窒化物は、約300℃よりも低い温度で堆積される、方法。
  7. 請求項1〜4のいずれかに記載の方法であって、
    前記基板を前記第2の反応物質に暴露するときに、反応種を生成するために、プラズマを点火することをさらに含む、方法。
  8. 請求項7に記載の方法であって、
    前記第2の反応物質は、窒素と水素とからなる群から選択される、方法。
  9. 請求項1〜4のいずれかに記載の方法であって、
    前記第2の反応物質は、アルミニウム、鉄、銅、アンチモン、セレン、テルル、ゲルマニウム、ヒ素、からなる群から選択される材料と反応することによって、揮発性物質を生成する、方法。
  10. 請求項1〜4のいずれかに記載の方法であって、
    前記カルコゲナイド材料は、硫黄、セレン、テルル、およびそれらの組み合わせ、からなる群から選択される、方法。
  11. 請求項1〜4のいずれかに記載の方法であって、
    堆積された前記シリコン窒化物膜は、少なくとも約95%のステップカバレッジを有する、方法。
  12. 請求項1〜4のいずれかに記載の方法であって、
    前記シリコン窒化物膜は、約30Åよりも大きい厚さに堆積される、方法。
  13. 請求項1〜4のいずれかに記載の方法であって、
    (b)の実行後かつ(c)の実行前の時点(i)、及び、
    (c)の実行後の時点(ii)、
    の少なくともいずれかの時点で、前記チェンバをパージする、方法。
  14. 請求項1〜4のいずれかに記載の方法であって、
    (b)と(c)を順次繰り返す、方法。
  15. 請求項13に記載の方法であって、
    アルゴン、ヘリウム、窒素、水素、からなる群から選択されるパージガスを流入させることにより、前記チェンバをパージする、方法。
  16. メモリデバイスであって、
    カルコゲナイド材料を含むメモリスタックと、
    前記メモリスタック上に堆積されて前記カルコゲナイド材料を封止するシリコン窒化物層であって、原子層堆積法を用いて臭素含有シリコン前駆体と第2の反応物質とに交互に暴露することにより、少なくとも40Åの厚さに堆積されたシリコン窒化物層であり、約30%〜約90%の間のステップカバレッジを有するシリコン窒化物層と、を有するメモリデバイス。
  17. カルコゲナイド材料を封止する方法であって、
    (a)前記カルコゲナイド材料の1つ以上の露出層を有する基板を、チェンバに供給することと、
    (b)前記基板を、塩素フリーヨウ素含有シリコン前駆体が前記基板の表面に吸着することを可能とする条件下で塩素フリーヨウ素含有シリコン前駆体に暴露することによって、前記塩素フリーヨウ素含有シリコン前駆体の吸着層を形成することと、
    (c)第2の反応物質に前記基板を暴露することによって、前記カルコゲナイド材料の上にシリコン窒化物膜を形成することと、
    (d)前記基板を前記第2の反応物質に暴露するときに、反応種を生成するために、プラズマを点火することと、を含む方法。
  18. カルコゲナイド材料を封止する方法であって、
    (a)前記カルコゲナイド材料の1つ以上の露出層を有する基板を、チェンバに供給することと、
    (b)前記基板を、ヘキサヨードシランが前記基板の表面に吸着することを可能とする条件下でヘキサヨードシランに暴露することによって、前記ヘキサヨードシランの吸着層を形成することと、
    (c)第2の反応物質に前記基板を暴露することによって、前記カルコゲナイド材料の上にシリコン窒化物膜を形成することと、を含む方法。
  19. メモリデバイスであって、
    カルコゲナイド材料を含むメモリスタックと、
    前記メモリスタック上に堆積されて前記カルコゲナイド材料を封止するシリコン窒化物層であって、原子層堆積法を用いてジヨードシランと第2の反応物質とに交互に暴露することにより堆積されたシリコン窒化物層であり、約30%〜約90%の間のステップカバレッジを有するシリコン窒化物層と、
    リモートプラズマ化学気相成長法またはプラズマ化学気相成長法によって約250℃で前記シリコン窒化物層上に直接堆積されたシリコン含有層であって、希釈率100:1の希釈フッ化水素酸を用いたウェットエッチング速度が約100Å/分よりも低いシリコン含有層と、を有するメモリデバイス。
JP2016185454A 2015-09-24 2016-09-23 カルコゲナイド材料を封止する方法 Active JP6918460B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201562232243P 2015-09-24 2015-09-24
US62/232,243 2015-09-24
US14/935,317 2015-11-06
US14/935,317 US9601693B1 (en) 2015-09-24 2015-11-06 Method for encapsulating a chalcogenide material
US15/272,222 US9865815B2 (en) 2015-09-24 2016-09-21 Bromine containing silicon precursors for encapsulation layers
US15/272,222 2016-09-21

Publications (2)

Publication Number Publication Date
JP2017092455A true JP2017092455A (ja) 2017-05-25
JP6918460B2 JP6918460B2 (ja) 2021-08-11

Family

ID=58337232

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016185454A Active JP6918460B2 (ja) 2015-09-24 2016-09-23 カルコゲナイド材料を封止する方法

Country Status (4)

Country Link
US (3) US9601693B1 (ja)
JP (1) JP6918460B2 (ja)
KR (1) KR102637938B1 (ja)
TW (1) TWI776792B (ja)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
FR3058162B1 (fr) * 2016-11-02 2021-01-01 Commissariat Energie Atomique Procede de depot de films minces de chalcogenure
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10199270B2 (en) * 2017-05-25 2019-02-05 Globalfoundries Inc. Multi-directional self-aligned multiple patterning
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102396119B1 (ko) * 2017-09-15 2022-05-11 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
JP7113670B2 (ja) * 2018-06-08 2022-08-05 東京エレクトロン株式会社 Ald成膜方法およびald成膜装置
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102620168B1 (ko) 2018-08-22 2024-01-02 삼성전자주식회사 가변 저항 메모리 장치 및 그 제조 방법
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102607181B1 (ko) 2018-10-05 2023-11-29 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202111825A (zh) 2019-07-29 2021-03-16 美商應用材料股份有限公司 原子層沉積之多層封裝堆疊
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
KR20210069164A (ko) 2019-12-02 2021-06-11 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11417840B2 (en) * 2019-12-31 2022-08-16 Micron Technology, Inc. Protective sealant for chalcogenide material and methods for forming the same
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11424118B2 (en) * 2020-01-23 2022-08-23 Micron Technology, Inc. Electronic devices comprising silicon carbide materials
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11800824B2 (en) 2021-03-24 2023-10-24 Applied Materials, Inc. Low temperature silicon nitride/silicon oxynitride stack film with tunable dielectric constant
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005011904A (ja) * 2003-06-17 2005-01-13 Tokyo Electron Ltd 成膜方法
JP2005163084A (ja) * 2003-12-01 2005-06-23 Mitsui Chemicals Inc シリコン薄膜の製膜方法
JP2010232214A (ja) * 2009-03-25 2010-10-14 Toshiba Corp 不揮発性記憶装置および不揮発性記憶装置の製造方法
JP2014038968A (ja) * 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
WO2014030393A1 (ja) * 2012-08-20 2014-02-27 日本電気株式会社 抵抗変化素子、および抵抗変化素子の製造方法
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体

Family Cites Families (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR93097E (fr) 1965-10-11 1969-02-07 Ibm Procédé de dépot de films isolants et dispositifs électriques utilisant de tels films.
US4158717A (en) 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4575921A (en) 1983-11-04 1986-03-18 General Motors Corporation Silicon nitride formation and use in self-aligned semiconductor device manufacturing method
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
EP0313683A1 (en) 1987-10-30 1989-05-03 International Business Machines Corporation Method for fabricating a semiconductor integrated circuit structure having a submicrometer length device element
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5091332A (en) 1990-11-19 1992-02-25 Intel Corporation Semiconductor field oxidation process
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5731235A (en) 1996-10-30 1998-03-24 Micron Technology, Inc. Methods of forming a silicon nitrite film, a capacitor dielectric layer and a capacitor
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6039834A (en) 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US5976990A (en) 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
US6197701B1 (en) 1998-10-23 2001-03-06 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6380056B1 (en) 1998-10-23 2002-04-30 Taiwan Semiconductor Manufacturing Company Lightly nitridation surface for preparing thin-gate oxides
US6228779B1 (en) 1998-11-06 2001-05-08 Novellus Systems, Inc. Ultra thin oxynitride and nitride/oxide stacked gate dielectrics fabricated by high pressure technology
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
KR100340716B1 (ko) 1999-10-29 2002-06-20 윤종용 실리콘 질화막 형성방법
EP1234322A2 (en) 1999-11-02 2002-08-28 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
KR100338125B1 (ko) 1999-12-31 2002-05-24 구본준, 론 위라하디락사 박막 트랜지스터 및 그 제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
CN1216415C (zh) 2000-04-25 2005-08-24 东京毅力科创株式会社 沉积金属薄膜的方法和包括超临界干燥/清洁组件的金属沉积组合工具
KR100366621B1 (ko) 2000-06-28 2003-01-09 삼성전자 주식회사 반도체 소자의 도전성 콘택체를 형성하는 방법
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP3696119B2 (ja) 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6709928B1 (en) 2001-07-31 2004-03-23 Cypress Semiconductor Corporation Semiconductor device having silicon-rich layer and method of manufacturing such a device
JP4116283B2 (ja) * 2001-11-30 2008-07-09 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード ヘキサキス(モノヒドロカルビルアミノ)ジシランおよびその製造方法
US6638879B2 (en) 2001-12-06 2003-10-28 Macronix International Co., Ltd. Method for forming nitride spacer by using atomic layer deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN100567564C (zh) 2002-12-20 2009-12-09 应用材料有限公司 形成高质量的低温氮化硅层的方法和设备
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7163860B1 (en) 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
DE10335099B4 (de) 2003-07-31 2006-06-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Dickengleichförmigkeit von Siliziumnitridschichten für mehrere Halbleiterscheiben
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7550067B2 (en) 2004-06-25 2009-06-23 Guardian Industries Corp. Coated article with ion treated underlayer and corresponding method
US7585396B2 (en) 2004-06-25 2009-09-08 Guardian Industries Corp. Coated article with ion treated overcoat layer and corresponding method
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7271464B2 (en) 2004-08-24 2007-09-18 Micron Technology, Inc. Liner for shallow trench isolation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
JP4074281B2 (ja) 2004-09-14 2008-04-09 株式会社東芝 磁気ランダムアクセスメモリ
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070054450A1 (en) 2005-09-07 2007-03-08 Magic Technologies, Inc. Structure and fabrication of an MRAM cell
US20070080381A1 (en) 2005-10-12 2007-04-12 Magic Technologies, Inc. Robust protective layer for MTJ devices
US7465669B2 (en) 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7301210B2 (en) 2006-01-12 2007-11-27 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7491630B2 (en) 2006-03-15 2009-02-17 Freescale Semiconductor, Inc. Undoped gate poly integration for improved gate patterning and cobalt silicide extendibility
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
CN101517713B (zh) 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
US20080119057A1 (en) 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
US20080124946A1 (en) 2006-11-28 2008-05-29 Air Products And Chemicals, Inc. Organosilane compounds for modifying dielectrical properties of silicon oxide and silicon nitride films
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US20080242032A1 (en) 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7807578B2 (en) 2007-06-01 2010-10-05 Applied Materials, Inc. Frequency doubling using spacer mask
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7910497B2 (en) 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
JP2010103303A (ja) 2008-10-23 2010-05-06 Toshiba Corp 磁気抵抗素子及びその製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
WO2010151856A2 (en) 2009-06-26 2010-12-29 Cornell University Chemical vapor deposition process for aluminum silicon nitride
KR20110002208A (ko) 2009-07-01 2011-01-07 삼성전자주식회사 반도체 소자의 형성방법
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8105901B2 (en) 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8354331B2 (en) 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US8021949B2 (en) 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US8679914B2 (en) 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
KR101225601B1 (ko) 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
JP5682290B2 (ja) 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012204408A (ja) 2011-03-23 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8883649B2 (en) 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
KR101819721B1 (ko) 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US8298954B1 (en) 2011-05-06 2012-10-30 International Business Machines Corporation Sidewall image transfer process employing a cap material layer for a metal nitride layer
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR101975071B1 (ko) 2011-09-23 2019-05-03 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5882776B2 (ja) 2012-02-14 2016-03-09 信越化学工業株式会社 レジスト下層膜形成用組成物、及びパターン形成方法
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
JPWO2013137115A1 (ja) 2012-03-15 2015-08-03 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8936977B2 (en) 2012-05-29 2015-01-20 Globalfoundries Singapore Pte. Ltd. Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US8703578B2 (en) 2012-05-29 2014-04-22 Globalfoundries Singapore Pte. Ltd. Middle in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US9023737B2 (en) 2012-07-11 2015-05-05 Asm Ip Holding B.V. Method for forming conformal, homogeneous dielectric film by cyclic deposition and heat treatment
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
KR102052936B1 (ko) 2012-11-13 2019-12-06 삼성전자 주식회사 반도체 소자 제조 방법
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9446965B2 (en) * 2013-02-19 2016-09-20 Nanotech Industrial Solutions, Inc. Applications for inorganic fullerene-like particles
US8623770B1 (en) 2013-02-21 2014-01-07 HGST Netherlands B.V. Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
US10084016B2 (en) 2013-11-21 2018-09-25 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US11164753B2 (en) 2014-01-13 2021-11-02 Applied Materials, Inc. Self-aligned double patterning with spatial atomic layer deposition
US9806129B2 (en) * 2014-02-25 2017-10-31 Micron Technology, Inc. Cross-point memory and methods for fabrication of same
US20160049307A1 (en) 2014-08-15 2016-02-18 Yijian Chen Patterning method for IC fabrication using 2-D layout decomposition and synthesis techniques
US9318334B2 (en) 2014-08-27 2016-04-19 United Microelectronics Corp. Method for fabricating semiconductor device
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9355837B2 (en) 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9875888B2 (en) 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9449971B2 (en) 2014-12-01 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming FinFETs
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US9530646B2 (en) 2015-02-24 2016-12-27 United Microelectronics Corp. Method of forming a semiconductor structure
US9472506B2 (en) 2015-02-25 2016-10-18 International Business Machines Corporation Registration mark formation during sidewall image transfer process
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
CN106298519A (zh) 2015-05-15 2017-01-04 联华电子股份有限公司 形成半导体结构的方法
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9508560B1 (en) 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination
US9530663B1 (en) 2015-06-23 2016-12-27 Nanya Technology Corp. Method for forming a pattern
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
CN108369899B (zh) 2015-11-20 2023-11-17 东京毅力科创株式会社 形成用于亚分辨率基板图案化的蚀刻掩模的方法
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005011904A (ja) * 2003-06-17 2005-01-13 Tokyo Electron Ltd 成膜方法
JP2005163084A (ja) * 2003-12-01 2005-06-23 Mitsui Chemicals Inc シリコン薄膜の製膜方法
JP2010232214A (ja) * 2009-03-25 2010-10-14 Toshiba Corp 不揮発性記憶装置および不揮発性記憶装置の製造方法
JP2014038968A (ja) * 2012-08-17 2014-02-27 Ps4 Luxco S A R L 半導体装置の製造方法
WO2014030393A1 (ja) * 2012-08-20 2014-02-27 日本電気株式会社 抵抗変化素子、および抵抗変化素子の製造方法
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride

Also Published As

Publication number Publication date
US9865815B2 (en) 2018-01-09
JP6918460B2 (ja) 2021-08-11
KR102637938B1 (ko) 2024-02-16
KR20170039567A (ko) 2017-04-11
US10141505B2 (en) 2018-11-27
TWI776792B (zh) 2022-09-11
US20180114903A1 (en) 2018-04-26
US20170092856A1 (en) 2017-03-30
TW201723216A (zh) 2017-07-01
US9601693B1 (en) 2017-03-21
US20170092857A1 (en) 2017-03-30

Similar Documents

Publication Publication Date Title
JP6918460B2 (ja) カルコゲナイド材料を封止する方法
KR102453443B1 (ko) 하부 기판의 손상 없이 SiN 막의 습식 에칭 레이트를 감소시키는 방법
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US10176984B2 (en) Selective deposition of silicon oxide
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20200034699A (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
TW201903829A (zh) 矽氮化物之選擇性成長
TWI682054B (zh) 使用原子層沉積鈦膜以使cmos用之基於氧化鈦/鈦的金屬絕緣半導體接觸方案的接觸電阻最小化之氧化鈦化學計量的調節方法
US11832533B2 (en) Conformal damage-free encapsulation of chalcogenide materials
TW201843329A (zh) 使用催化控制將矽氮化物選擇性沉積於矽氧化物上
US20210017643A1 (en) Chamfer-less via integration scheme
US11823909B2 (en) Selective processing with etch residue-based inhibitors
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210622

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210721

R150 Certificate of patent or registration of utility model

Ref document number: 6918460

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150