TWI567225B - 電漿活化保形膜沈積 - Google Patents

電漿活化保形膜沈積 Download PDF

Info

Publication number
TWI567225B
TWI567225B TW100113041A TW100113041A TWI567225B TW I567225 B TWI567225 B TW I567225B TW 100113041 A TW100113041 A TW 100113041A TW 100113041 A TW100113041 A TW 100113041A TW I567225 B TWI567225 B TW I567225B
Authority
TW
Taiwan
Prior art keywords
reactant
film
plasma
substrate
cfd
Prior art date
Application number
TW100113041A
Other languages
English (en)
Other versions
TW201144475A (en
Inventor
愛德瑞恩 拉維伊
山卡爾 史瓦敏娜
康虎
雷麥許 千德拉斯卡瑞
湯姆 杜許
丹尼斯M 豪斯曼
強 亨利
湯瑪士 朱維
李明
布萊恩 許來福
安東尼奧 塞維兒
湯瑪士W 蒙特希爾
史拉文德克 巴特J 凡
伊斯沃 史利尼瓦森
曼地炎 史林雷
Original Assignee
諾菲勒斯系統公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 諾菲勒斯系統公司 filed Critical 諾菲勒斯系統公司
Publication of TW201144475A publication Critical patent/TW201144475A/zh
Application granted granted Critical
Publication of TWI567225B publication Critical patent/TWI567225B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)

Description

電漿活化保形膜沈積
本申請案主張基於以下美國臨時專利申請案的權益:2010年4月15日提出申請之美國臨時專利申請案第61/324,710號;及2010年8月10日提出申請之美國臨時專利申請案第61/372,367號;及2010年9月1日提出申請之美國臨時專利申請案第61/379,081號;及2010年11月29日提出申請之美國臨時專利申請案第61/417,807號;及2011年4月11日提出申請之美國臨時專利申請案第13/084,399號,該等專利申請案中之每一者皆以其全文引用方式且出於各種目的併入本文中。
半導體裝置之各種薄膜層可藉助原子層沈積(ALD)製程來沈積。在某些條件下,某些ALD製程可能無法使晶圓飽和,從而導致晶圓上之不完全膜沈積、膜島化及膜厚度變化。應對不完全膜沈積之某些方法可包括更長的劑量時間以使晶圓表面中的膜前體達到飽和。然而,延長之劑量時間可在膜成核階段期間浪費寶貴的前體。延長處理時間之加性效應可減少處理工具吞吐,從而需要安裝並維持額外處理工具來支援生產線。此外,藉由此等方法所產生之膜可具有提供不適當裝置效能之物理、化學或電特性。
本文中所揭示之各種態樣係關於在一基板表面上沈積一膜之方法。此等方法通常包括其中在多個反應物吸附與反應循環內生長一膜之表面介導反應。在一個這樣的態樣中,該方法係以如下操作為特徵:(a)在允許處於氣相中的一第一反應物吸附至該基板表面上之條件下使該基板表面曝露至該第一反應物;(b)在該第一反應物吸附在該基板表面上時使該基板表面曝露至處於氣相中的一第二反應物;及(c)使該基板表面曝露至電漿以驅動吸附在該基板表面上之該第一與第二反應物之間的一反應從而形成該膜。在此方法中,該第一反應物連續地流動至該基板且該第二反應物間歇地流動至該基板。因此,例如,該第一反應物在(b)期間流動至該基板表面但該第二反應物在(a)期間不流動至該基板表面。在某些情況下,該第一反應物連續地但以一非恆定速率流動。舉例而言,該第一反應物可在該第二反應物之間歇遞送期間以一減小之流率流動。另外,該第二反應物可在(b)期間以一非恆定流率流動至該基板表面。
在某些實施方案中,該方法亦包括一清掃階段以在(c)之前掃除處於氣相中的該第二反應物。在另一實例中,在該清掃階段之後但在(c)之前,使該基板表面再次曝露至該第二反應物。
在另一態樣中,一種方法可以如下序列操作為特徵:(a)提供一基板於一反應室中;(b)在允許處於氣相中的一第一反應物吸附至該基板表面上之條件下將該第一反應物引入至該反應室中;(c)在該第一反應物吸附在該基板表面上時在該反應室中引入處於氣相中的一第二反應物;及(d)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成該膜。在此方法中,該第二反應物係在不首先將該第一反應物掃出該反應室之情況下引入。
在另一態樣中,一種方法可以如下序列操作為特徵:(a)將一基板提供於一反應室中;(b)在允許處於氣相中的一第一反應物吸附至該基板表面上之條件下將該第一反應物引入至該反應室中;(c)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中;(d)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成該膜;及(e)在用以形成該膜之該第一與第二反應物之間的該反應之後,電漿處理該膜以修改該膜之至少一個性質。作為一實例,藉由(e)中之電漿處理修改之沈積膜之性質係內部膜應力、抗蝕刻性、密度、硬度、光學性質、介電常數、碳含量或電性質。在一具體實施例中,(e)中之電漿處理改變該膜之組成。可藉由(例如)自該膜移除一污染物來這樣做。經常(儘管未必盡然),該電漿處理操作可在不同於用來驅動該基板表面上之該第一與第二反應物之間的該反應之電漿條件之電漿條件下進行。此揭示態樣中之電漿處理可在進行中之不同階段實施。舉例而言,該製程可以一在實施操作(e)之前重複操作(b)至(d)至少一次之方式實施。另外,該方法可包括在(d)之後及在(e)之前清掃該反應室之一操作。
再一態樣涉及首先藉由一表面介導反應並隨後藉由一化學氣相沈積反應來分兩個階段沈積一膜。此態樣可以如下操作為特徵:(a)將一基板提供於一反應室中;(b)在允許處於氣相中的一第一反應物吸附至該基板表面上之條件下將該第一反應物引入至該反應室中;(c)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中;(d)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成該膜之一部分;及(e)藉由一化學氣相沈積製程(例如,電漿增強化學氣相沈積)直接在該膜之該部分上沈積額外膜。通常,在(d)之前終止對該第二反應物之遞送,從而使該基板曝露至電漿。
所揭示兩階段方法可進一步包括在(d)之後及在(e)之前實施一過渡階段。在該過渡階段期間,CVD氣相反應與吸附之第一及第二反應物之表面反應同時進行以在該基板表面上沈積額外膜。該過渡階段可伴隨著提供間歇電漿能量脈衝。在某些實施例中,該第二反應物在(c)期間以一第一流率且在該過渡階段期間以一第二流率提供至該反應室,且其中該第二流率小於該第一流率。通常(儘管未必盡然),該方法涉及在實施(e)之前重複(b)至(d)至少一次。此外,在某些實施例中,該方法涉及在(e)之後重複(b)至(d)。
在再一態樣中,一種方法可以如下操作為特徵:(a)將一基板提供於一反應室中,其中該基板包含一凹入特徵;(b)在允許處於氣相中的一第一反應物吸附至該基板表面上之條件下將該第一反應物引入至該反應室中;(c)在該第一反 應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中;(d)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成該膜之一部分;(e)在該凹入特徵之一入口處蝕刻該膜;及(f)在該蝕刻膜上沈積該膜之一額外部分。在某些實施例中,操作(f)涉及重複(b)至(d)。此外,在某些情況下,操作(e)包含在該凹入特徵之該入口處選擇性地蝕刻該膜。該選擇性蝕刻可涉及以藉助一遠端電漿產生之自由基來曝露該凹入特徵。
在另一態樣中,一種方法包括如下操作:(a)將一基板提供於一反應室中:(b)在允許處於氣相中的一第一反應物吸附至該基板面板上之條件下將該第一反應物引入至該反應室中;(c)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中;(d)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成該膜之一部分;(e)重複(b)至(d)至少一次;(f)在允許未在(b)至(e)期間引入之一第三反應物吸附至該基板表面上之條件下將該第三反應物引入至該反應室中;(g)使該第三反應物反應以產生該膜之一摻雜劑;及(h)重複(b)至(d)。
另一態樣涉及其中在一沈積循環期間用脈衝來遞送該第二反應物之方法。此等方法可以如下操作為特徵:(a)將一基板提供於一反應室中;(b)在允許處、於氣相中的一第一反應物吸附至該基板表面之條件下將該第一反應物引入至該反應室中;(c)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中;(d)在操作(c)之後,自該反應室清掃該第二反應物;(e)在操作(d)之後,在該第一反應物吸附在該基板表面上時再次將處於氣相中的一第二反應物引入至該反應室中;及(f)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成該膜之一部分。
上述方法態樣可以諸多不同方式來實施。舉例而言,上述方法中所採用之該第一反應物可係一「輔助反應物」。一輔助反應物可係(例如)一不含一金屬或半導體元素之反應物。此外,該第一及第二反應物可經選取以便其不在氣相中彼此反應。因此,該第一及第二反應物可同時存在於氣相中,且不彼此明顯反應直至曝露至電漿為止。此准許在該等反應物中之至少一者處於氣相中且曝露至該基板時點燃該電漿。通常,一沈積循環中所產生之膜量由吸附在該基板表面上之該第一及第二反應物之量限制。在某些沈積製程中,一循環進一步包括在允許一第三反應物吸附至該基板表面上之條件下將該第三反應物引入至該反應室中。所施加電漿隨後驅動該第一、第二及第三反應物之間的一反應。
在上述態樣中,所沈積膜可係一介電膜,例如一低k介電層。此外,該膜可係形成(例如)淺溝槽隔離物、一穿矽通孔襯墊、一層間電介質、一閘極間隔物或一金屬間電介質之一保形結構。另一選擇係,該膜可係一抗反射層。
在對方法態樣之上述說明中,該第一與第二反應物之間的該反應係使用電漿活化來實施。亦可採用其他活化源。此等活化源包括(例如)各種波長之電磁輻射(包括紫外線輻射)、熱能及電子束。
本文中所揭示之各種態樣係關於用於在一基板表面上沈積一膜之設備。此等設備促進其中藉由多個反應物吸附與反應循環來生長一膜之表面介導反應。在一個態樣中,該設備包括如下特徵:(1)一反應室;(2)一用於遞送氣相反應物至反應室之進氣口;(3)一用於將電漿提供至該反應室之電漿產生器;及(4)一控制器。該控制器包括用於實施如下操作之指令:(a)在允許處於氣相中的一第一反應物吸附至一基板表面上之條件下使該第一反應物流動至該反應室中;(b)在該第一反應物吸附在該基板表面上時使處於氣相中的一第二反應物流動該反應室中,以使得該第一反應物連續地流動至該基板且該第二反應物間歇地流動至該基板;及(c)提供一電漿於該反應室中以驅動吸附在該基板表面上之該第一與第二反應物之間的一反應從而形成一膜。
在某些實施例中,用於使該第一反應物流動至該反應室中之指令包括用於使該第一反應物連續地但以一非恆定速率流動之指令。此外,用於使該第一反應物流動至該反應室中之指令可包括用於使該第一反應物在該第二反應物之間歇遞送期間以一減小之流率流動之指令。在某些實施例中,用於使該第二反應物流動至該反應室中之指令包括用於使該第二反應物以一非恆定流率流動至該基板表面之指令。此外,該控制器亦可包括用於在(c)之前實施一清掃階段以掃除處於氣相中的該第二反應物。在此等情況下,該控制器可包括用於使該第二反應物在該清掃階段之後但在(c)之前流動之指令。
在另一態樣中,該設備經組態以使得在遞送至少一個反應物之後不實施任何清掃。在此態樣中,關聯之設備可以如下特徵為特徵:如上所述之一反應室、一進氣口及一電漿產生器、以及單獨地一控制器,該控制器包括指令,該等指令用於(a)在允許處於氣相中的一第一反應物吸附至固持於該反應室中之一基板表面上之條件下將該第一反應物引入至該反應室中;(b)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中,其中該第二反應物係在不首先將該第一反應物掃出該反應室之情況下引入;及(c)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成一膜。
再一態樣係關於用於實施一電漿處理以修改一沈積成形膜之至少一個性質之設備。此設備可以如下為特徵:如上所述之一反應室、一進氣口及一電漿產生器、以及單獨地一控制器,該控制器包括指令,該等指令用於(a)在允許處於氣相中的一第一反應物吸附至一基板表面上之條件下將該第一反應物引入至該反應室中;(b)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中;(c)使該基板表面曝露至電漿以驅動該基板表面上之該第一與第二反應物之間的一反應從而形成一膜;及(d)在用以形成該膜之該第一與第二反應物之間的該反應之後,電漿處理該膜以修改該膜之至少一個性質。
在某些情況下,用於電漿處理該所沈積膜之指令包括用於在不同於用於驅動該基板表面上之該第一與第二反應物之間的一反應之指令中所規定之電漿條件之條件下進行該電漿處理之指令。在某些實例中,該控制器可進一步包括用於在實施操作(d)之前重複操作(a)至(c)至少一次之指令。在再其他實例中,該控制器可另外包括用於在(c)之後及在(d)之前清掃該反應室之指令。
上述設備實施例中之每一者皆可以諸多不同方式來實施。舉例而言,該控制器可另外包括用於重複實施該第一及第二反應物之流動循環及該提供一電漿以驅動吸附在該基板表面上之該第一與第二反應物之間的該反應之迴圈指令。作為另一實例,該控制器可包括用於將一基板提供於一反應室中之指令。在再其他實例中,該控制器可包括用於實施化學氣相沈積以在該基板表面上沈積額外膜之指令。作為另一實例,該控制器可包括用於在(c)之前在允許一第三反應物吸附至該基板表面上之條件下將該第三反應物引入至該反應室中之指令。在各種實施例中,該設備經組態以部分地蝕刻沈積成形膜。在某些這樣之情況下,該控制器可進一步包括用於如下之指令:(d)在該凹入特徵之一入口處蝕刻該膜;及(e)在該蝕刻膜上沈積額外膜。
在結構上,該反應室可包括各自具有其自身的基板托架之複數個站。特定而言,該設備可包括一連接至該進氣口之混合槽,其中該混合槽經組態以在經由該進氣口遞送至該反應室之前混合兩種以上製程氣體。更進一步地,該設備可包括一連接至該進氣口之分岐管。該分岐管經組態以提供一第一前體以在一沈積製程之一第一部分期間形成一第一介電膜並提供一第二前體以在該沈積製程之一第二部分期間形成一第二介電膜。
該設備之電漿產生特徵可包括各種專門組態。舉例而言,該控制器可包括用於在該等反應物中之至少一者處於氣相中且曝露至該基板表面時點燃該電漿之指令。作為另一實例,該控制器進一步包含用於使用一光學發射光譜感測器或一電流電壓探針來監測該電漿之指令。作為再一實例,用於提供一電漿於該反應室中之指令可包括用於允許該電漿產生器之頻率浮動之指令。
下面將參照關聯圖式更詳細地闡述此等及其他特徵。
半導體裝置製造通常涉及在一整合製作製程中於一非平面基板上沈積一個或多個薄膜。在該整合製程之某些態樣中,沈積與基板構形一致的薄膜可能係有益的。舉例而言,可在一升高閘極堆疊頂上沈積一氮化矽膜以充當一用於保護輕摻雜源極及汲極區域免受後續離子植入製程影響之間隔層。
在間隔層沈積製程中,可使用化學氣相沈積(CVD)製程在該非平面基板上形成一氮化矽膜,然後對該氮化矽膜進行各向異性蝕刻以形成間隔結構。然而,隨著閘極堆疊之間的距離減小,CVD氣相反應之質量輸送限制可引起「麵包中央隆起(bread-loafing)」沈積效應。此等效應通常展現閘極堆疊之頂面上之較厚沈積及閘極堆疊之底角處之較薄沈積。此外,由於某一晶粒可能具有不同裝置密度之區域,因此跨越晶圓表面之質量輸送效應可導致晶粒內及晶圓內膜厚度變化。此等厚度變化可導致對一些區域之過蝕刻及對其他區域之欠蝕刻。此可降低裝置效能及/或晶粒良率。
應對此等問題之某些方法涉及原子層沈積(ALD)。與其中使用熱活化氣相反應沈積膜之CVD製程相比,ALD製程使用表面介導沈積反應在逐層基礎上沈積膜。在一個實例性ALD製程中,使包括一表面活性部位群集之一基板表面曝露至一第一膜前體(P1)之一氣相分佈。P1之某些分子可在包括P1之化學吸附物種及物理吸附分子之基板表面的頂上形成一凝相。然後抽空反應器以移除氣相及物理吸附P1以便僅剩下化學吸附物種。然後將一第二膜前體(P2)引入至該反應器以便P2之某些分子吸附至基板表面。此時可再次抽空反應器,以移除非結合之P2。隨後,提供至基板之熱能活化P1及P2之吸附分子之間的表面反應,從而形成一膜層。最後,抽空反應器以移除反應副產物及可能未反應之P1及P2,從而結束ALD循環。可包括額外ALD循環以構建膜厚度。
根據前體劑量步驟之曝露時間及前體之黏附係數,每一ALD循環可沈積一在一個實例中介於二分之一埃與三埃之間的厚度之膜層。因此,當沈積厚度大於幾個埃之膜時,ALD製程可係費時的。此外,某些前體可具有長的曝露時間以沈積一保形膜,而此亦可減少晶圓吞吐時間。
亦可在平面基板上沈積保形膜。舉例而言,可由包含交替膜型之平面堆疊形成用於微影圖案化應用之抗反射層。此等抗反射層可為大約100至1,000埃厚,從而使ALD製程變得不如CVD製程有吸引力。然而,此等抗反射層亦可具有一較許多CVD製程可提供為低之晶圓內厚度變化容限。舉例而言,一600埃厚的抗反射層可容忍一小於3埃之厚度範圍。
因此,本文中所提供之各種實施例提供用於在非平面及平面基板上進行電漿活化保形膜沈積(CFD)之製程及設備。此等實施例包含部分但不是全部CFD製程中所採用之各種特徵。在此等特徵當中包括(1)排除或減少自反應室「清掃」一個或兩個反應物所需的時間、(2)在一不同反應物間歇地流動至反應室中時提供至少一個反應物之一連續流動、(3)在該等反應物中之一者存在於該氣相中時,而不是在自反應室清除所有反應物時點燃電漿、(4)用一電漿來處理所沈積之CFD膜以修改膜性質、(5)在藉由CFD沈積一膜之一第一部分之後藉由PECVD來沈積該膜之一部分,通常在同一反應室中、(6)在CFD階段之間蝕刻一部分沈積膜及(7)藉由用唯膜沈積循環來點綴摻雜劑遞送循環從而摻雜該CFD膜。當然,此清單並非係窮盡性的。考量說明書之剩餘部分將易知各種其他CFD特徵。
CFD「循環」之概念與對本文中之各種實施例之討論有關。通常,一循環係實施一表面沈積反應一次所需之最小一組操作。一個循環之結果係在一基板表面上產生至少一部分膜層。通常,一CFD循環將只包括對將每一反應物遞送並吸附至基板表面並隨後使彼等吸附之反應物反應以形成部分膜層必要之彼等步驟。當然,該循環可包括某些輔助步驟,例如清掃該等反應物或副產物中之一者及/或處理所沈積之部分膜。通常,一循環只含有一單一序列操作之一個示例。作為一實例,一循環可包括如下操作:(i)遞送/吸附反應物A、(ii)遞送/吸附反應物B、(iii)將B掃出反應室、及(iv)施加電漿以驅動A與B之一表面反應以在表面上形成部分膜層。
現將進一步闡述該七個上述特徵。在以下說明中,考量一其中一個以上反應物吸附至基板表面並隨後反應以藉由與電漿相互作用在表面上形成一膜之CFD反應。
特徵1(反應物之連續流動)-反應物A在一CFD循環之一個或多個部分期間連續地流動至一反應室而該反應物在習用ALD中通常不流動。在習用ALD中,反應物A僅出於將該反應物吸附至該基板表面上之目的而流動。在一ALD循環之其他階段,反應物A不流動。然而,根據本文中所述之某些CFD實施例,反應物A不僅在與其吸附相關聯之階段而且在實施除吸附A以外的操作之一CFD循環之階段期間流動。舉例而言,在許多實施例中,在該設備劑量一第二反應物(本文中之反應物B)時,反應物A流動至該反應器中。因此,在一CFD循環之至少一部分期間,反應物A及B同時存在於該氣相中。此外,在施加電漿以驅動基板表面處之一反應時,反應物A可流動。應注意,連續流動之反應物可與一載體氣體(例如,氬)一起遞送至反應室。
連續流實施例之一個優點在於所建立之流避免由與接通並關斷該流相關聯之流之瞬變初始化及穩定化所引起之延遲及流變化。
作為一具體實例,可使用一主要反應物(有時稱作一「固體組分」前體或在此實例中簡稱為「反應物B」)藉由一保形膜沈積製程沈積一氧化物膜。雙(第三丁基胺)矽烷(BTBAS)係一種這樣的主要反應物。在此實例中,氮化物沈積製程涉及遞送一氧化劑(例如氧或氧化亞氮),該氧化劑在不同曝露階段中於該主要反應物之遞送期間開始且連續地流動。該氧化劑亦在不同電漿曝露階段期間繼續流動。參見例如圖1中所繪示之序列。為了比較,在一習用ALD製程中,氧化劑之流動將在固體組分前體遞送至反應器時停止。舉例而言,反應物A之流動將在遞送反應物A時停止。
在某些具體實例中,連續地流動之反應物係一「輔助」反應物。如本文中所使用,一「輔助」反應物係不屬於一主要反應物之任一反應物。如上文所提到,一主要反應物含有一在室溫下呈固態之元素,此元素對藉由CFD形成之膜產生影響。此等元素之實例係金屬(例如,鋁及鈦)、半導體(例如,矽及鍺)及非金屬或類金屬(例如,硼)。輔助反應物之實例包括氧、臭氧、氫、一氧化碳、氧化亞氮、氨、烷基胺及類似物。
連續流動之反應物可以一恆定流率或以變化但受控流率提供。在後一種情況下,作為一實例,一輔助反應物之流率可在遞送該主要反應物時於一曝露階段期間下降。舉例而言,在氧化物沈積中,氧化劑(例如,氧或氧化亞氮)可在整個沈積序列期間連續流動,但其流率可在遞送主要反應物(例如,BTBAS)時下降。此增大BTBAS於其劑量期間之分壓,從而減少使基板表面飽和所需之曝露時間。就在點燃電漿之前,可增大氧化劑流動以降低在電漿曝露階段期間存在BTBAS之可能性。在某些實施例中,連續流動之反應物在兩個或更多個沈積循環期間以一變化流率流動。舉例而言,該反應物可在一第一CFD循環期間以一第一流率且在一第二CFD循環期間以一第二流率流動。
當採用多個反應物且其中一者之流動呈連續時,其中至少兩者將在該CFD循環之一部分期間同時存在於該氣相中。類似地,當在遞送第一反應物之前不實施任何吹掃步驟時,兩個反應物將同時存在。因此,採用在不施加活化能量之情況下在該氣相中不彼此明顯反應之反應物可能很重要。通常,該等反應物應不反應直至存在於基板表面上且曝露至電漿或另一適當非熱活化條件為止。選取此等反應物涉及對至少(1)所期望反應之熱力學有利度(Gibb之自由能<0)及(2)用於該反應之活化能量(其應足夠大以便在所期望沈積溫度下存在微不足道的反應)之考量。
特徵2(減少或排除一清掃步驟)-在某些實施例中,該製程無需或減少與在習用ALD中通常將實施之一清掃步驟相關聯之時間。在習用ALD中,在將每一反應物遞送並吸附至基板表面上之後實施一單獨的清掃步驟。在一習用ALD清掃步驟中幾乎不出現吸附或反應。在一CFD循環中,在遞送該等反應物中之至少一者之後減少或排除該清掃步驟。其中移除一清掃步驟之一製程序列之一實例呈現於圖1中。不實施任何清掃步驟以自反應室清除反應物A。在某些情況下,在一CFD循環中遞送第一反應物之後不實施任何清掃步驟但在遞送第二反應物或最後遞送之反應物之後視需要實施一清掃步驟。
一CFD「清掃」步驟或階段之概念出現在本文中所述之各種實施例中。通常,一清掃階段自一反應室移除或吹掃該等氣相反應物中之一者且通常只在完成對此反應物之遞送之後進行。換言之,彼反應物在清掃階段不再遞送至反應室。然而,該反應物在該清掃階段期間仍然吸附在基板表面上。通常,該清掃用來在該反應物吸附在基板表面上達到所期望位準之後移除該室中之任何殘留氣相反應物。一清掃階段亦可自基板表面移除弱吸附之物種(例如,某些前體配位子或反應副產物)。在ALD中,該清掃階段已被視為對防止兩個反應物之氣相相互作用或一個反應物與用於表面反應之一熱、電漿或其他驅動力之相互作用而言是必要的。一般而言,且除非本文中另有規定,一清掃階段可藉由(i)抽空一反應室及/或(ii)使不含欲清除之物種之氣體流經該反應室來實現。在(ii)之情況下,此氣體可係(例如)一惰性氣體或一輔助反應物,例如一連續流動之輔助反應物。
排除該清掃階段可藉助或不藉助另一反應物之連續流動來實施。在圖1中所繪示之實施例中,反應物A未被掃去而是在完成其至基板表面上之吸附之後繼續流動(由圖中之參考編號130圖解說明)。
在其中採用兩個或更多個反應物之各種實施例中,允許排除或減少其清掃步驟之反應物係一輔助反應物。作為一實例,輔助反應物係一氧化劑或一氮源且主要反應物係一含有矽、硼或鍺的前體。當然,亦可減少或排除對主要反應物之清掃。在某些實例中,在遞送輔助反應物之後不實施任何清掃步驟但在遞送主要反應物之後視需要實施一清掃步驟。
如所提及,該清掃階段不必完全排除而只是與習用ALD製程中之清掃階段相比較在持續時間方面減少。舉例而言,在一CFD循環期間對一反應物(例如一輔助反應物)之清掃階段可實施達約0.2秒或以內,例如達約0.001至0.1秒。
特徵3(在反應物中之一者存在於該氣相中時點燃電漿)-根據此特徵,在自反應室清除所有反應物之前點燃一電漿。此與其中只在該等氣相反應物不再存在於該反應室中之後提供電漿活化或其他反應驅動操作之習用ALD相反。應注意,當反應物A在圖1中所繪示之一CFD循環之電漿部分期間連續地流動時,將必然出現此特徵。然而,所揭示實施例不僅限於此。一個或多個反應物可在一CFD循環之電漿階段期間流動但不必在一CFD循環期間連續地流動。此外,在電漿活化期間存在於氣相中之反應物可係一主要反應物或一輔助反應物(當在一CFD循環中採用兩個以上反應物時)。
舉例而言,一序列可係(i)引入反應物A、(ii)吹掃A、(iii)引入反應物B且在B流動時撞擊一電漿及(iv)吹掃。在此等實施例中,該製程採用一來自該氣相之電漿活化反應物物種。此係其中不將CFD限制至一序列連續步驟之一般實例。
若活化電漿係在固體組分前體(主要反應物)供應至該反應器的時間期間提供,則階梯覆蓋可能變得不太保形,但沈積速率通常將增大。然而,若僅在遞送一輔助反應物期間出現電漿活化,則情況未必如此。電漿可活化氣相輔助組分以使其變得更具反應性並由此增大其在保形膜沈積反應中之反應性。在某些實施例中,此特徵在沈積一含矽膜(例如氧化物、氮化物或碳化物)時採用。
特徵4(沈積CFD膜之電漿處理)-在此等實施例中,電漿可在保形膜沈積製程中擔任兩個或更多個角色。其角色之一係在每一CFD循環期間活化或驅動膜形成反應。其另一角色係在該CFD膜在一個或多個CFD循環之後部分或完全沈積之後處理該膜。電漿處理旨在修改一個或多個膜性質。通常(儘管未必盡然),電漿處理階段係在不同於用於活化膜形成反應(即,用於驅動膜形成反應)之條件之條件下進行。作為一實例,電漿處理可在一還原或氧化環境存在下(例如,在氫或氧存在下)實施,但是當一CFD循環之活化部分期間情況不必如此。
電漿處理操作可在該CFD製程之每一循環期間、在每隔一循環期間或在某一不太頻繁的基礎上實施。該處理可每隔一定時間實施,係於固定數目個CFD循環,或者其可不定地(例如,在CFD循環之不同時間)甚至隨機地實施。在一典型實例中,針對幾個CFD循環實施膜沈積,以達到適當膜厚度,並隨後採用電漿處理。此後,針對許多CFD循環再次實施膜沈積而不在再次實施處理之前進行電漿處理。可重複此超級序列x數目個CFD循環,後跟電漿處理(膜修改)直至藉由CFD完全形成該膜為止。
在某些實施例中,電漿處理可在開始CFD循環之前實施以修改上面沈積有CFD膜之表面之一個或多個性質。在各種實施例中,該表面係由矽(摻雜或未摻雜)或一含矽材料製成。經修改表面可更能夠產生一與隨後沈積之CFD膜之高品質介面。該介面可經由例如瑕疵減少等來提供例如良好黏結力、可靠電性質。
在CFD之前對基板之預處理不僅限於任何特定電漿處理。在某些實施例中,預處理涉及在氦、氫、氬、氮、氫/氮形成氣體及/或氨存在下曝露至氫電漿、氮電漿、氮/氫電漿、氨電漿、氬電漿、氦電漿、氦退火、氫退火、氨退火及UV固化。電漿處理可藉助各種電漿產生器來實現,包括但不限於微波電漿產生器、ICP遠端電漿產生器、直接電漿產生器及此項技術中已知之其他電漿產生器。
總的來說,該處理可出現在CFD循環之前、期間及之後。當出現在CFD循環期間時,可針對適當沈積條件選取處理頻率。通常,該處理之出現頻率不會超過每循環一次。
作為一實例,考量一用於由允許一些碳存在之前體形成氮化矽之製程。此等前體之實例包括BTBAS。作為碳存在於前體中之結果,沈積成形氮化物膜包括一些碳雜質,從而可降低氮化物之電性質。為解決此問題,在針對含矽前體之幾個CFD循環之後,在電漿存在下使部分沈積膜曝露至氫以減少並最終移除碳摻雜。
用於修改膜表面之電漿條件可經選取以實現膜性質及/或組合物之一所期望變化。在可針對所期望修改選擇及/或定製之電漿條件當中包括氧化條件、還原條件、蝕刻條件、用於產生電漿之功率、用於產生電漿之頻率、使用兩個或更多個頻率來產生電漿、電漿密度、電漿與基板之間距離等等。可藉由電漿處理來修改之CFD膜性質之實例包括內部膜應力、抗蝕刻性、密度。硬度、光學性質(折射率、反射率、光密度等等)、介電常數、碳含量、電性質(Vfb展布等等)及諸如此類。
在某些實施例中,可採用不同於一電漿處理之一處理來修改沈積成形膜之性質。此等處理包括電磁輻射處理、熱處理(例如,退火或高溫脈衝)及類似處理。此等處理中之任一者可單獨地實施或結合包括一電漿處理之另一處理來實施。任一這樣的處理皆可用作一對上述電漿處理中之任一者之替代。在一具體實施例中,該處理涉及使該膜曝露至紫外線輻射。如上所述,在一具體實施例中,該方法涉及原位(即,在一氧化物CFD膜之形成期間)施加UV輻射至該膜或後沈積該氧化物。此處理用來減少或排除瑕疵結構並提供得到提高之電效能。
在某些具體實施例中,一UV處理可與一電漿處理相結合。該兩個操作可同時或依序實施。在順序性選項中,視需要首先進行該UV操作。在同時選項中,該兩個處理可自單獨的源(例如,一用於電漿之RF電源及一用於UV之燈)或自一單個源(例如一產生作為副產物之UV輻射之氦電漿)提供。
特徵5(藉由CFD來沈積並隨後過渡至PECVD)-在此等實施例中,完成膜係部分地藉由CFD且部分地藉由一CVD製程(例如PECVD)產生。通常,首先實施該沈積製程之CFD部分且其次實施PECVD部分,但情況不必如此。混合CFD/CVD製程可改良相對於在單獨具有CVD之情況下所見到的階梯覆蓋且另外提高相對於在單獨具有CFD之情況下所見到的沈積速率。在某些情況下,在一個CFD反應物流動時,施加電漿或其他活化以產生寄生CVD操作且由此達成更高沈積速率、一不同類別的膜等等。
在某些實施例中,可採用兩個或更多個CFD階段及/或可採用兩個或更多個CVD階段。舉例而言,可藉由CFD沈積膜之一最初部分,並隨後藉由CVD沈積膜一中間部分,且藉由CFD沈積膜一最後部分。在此等實施例中,可能需要在藉由CFD沈積膜之稍後部分之前修改膜之CVD部分,如藉由電漿處理或蝕刻。
可在CFD階段與CVD階段之間採用一過渡階段。在此過渡階段期間所採用之條件不同於在CFD階段或CVD階段中所採用之條件。通常(儘管未必盡然),該等條件准許同時CFD表面反應及CVD型氣相反應。該過渡階段通常涉及曝露至例如可用脈衝輸送之一電漿。此外,該過渡階段可涉及以一低流率(即,一明顯低於該製程之對應CFD階段中所採用之速率之速率)遞送一個或多個反應物。
特徵6(藉由CFC沈積、蝕刻並隨後進一步藉由CFD沈積)-在此等實施例中,針對一個或多個循環(通常,許多循環)實施CFD沈積並隨後蝕刻所得膜以移除(例如)一凹部入口(一尖端)處或附近之一些多餘膜,後跟更多CFD沈積循環。可以類似方式蝕刻之沈積膜中之結構特徵之其他實例。針對此製程所選取之蝕刻劑將相依於欲蝕刻之材料。在某些情況下,可藉助一含氟蝕刻劑(例如,NF3)或氫來實施該蝕刻操作。
在某些實施例中,採用一遠端電漿來產生該蝕刻劑。通常,一遠端電漿以比一直接電漿更各向同性之一方式蝕刻。一遠端電漿通常提供一相對高的自由基分率至基板。此等自由基之反應性可隨凹部內之垂直位置而變化。在該特徵頂部,自由基更集中且因而將以一較高速率蝕刻,而進一步順著該凹部且在底部處,一些自由基將已被丟失且因此其等將以一較低速率蝕刻。此毫無疑問係一用於應對太多沈積出現在凹部開口處之問題之合意反應性剖面。使用一遠端電漿來進行蝕刻之一額外益處在於電漿相對溫和且因此不可能損壞基板層。此可能在下伏基板層對氧化或其他損壞敏感時特別有利。
特徵7(藉助額外反應物來定製膜組合物)-本文中所呈現之實例中之許多實例涉及採用一個或多個反應物之CFD製程。此外,該等實例中之許多實例在每一CFD循環中採用相同之反應物。然而,情況不必如此。首先,許多CFD製程可採用三個或更多個反應物。實例包括(i)使用乙硼烷、六氟化鎢及氫作為反應物之鎢CFD及(ii)使用乙硼烷、BTBAS及氧作為反應物之氧化矽CFD。乙硼烷可自生長膜移除或其可酌情併入該膜中。
此外,某些實例可在僅某些CFD循環中採用額外反應物。在此等實例中,一基本CFD製程循環僅採用該等反應物來形成該基礎膜組合物(例如,氧化矽或碳化矽)。此基本製程在所有或幾乎所有CFD循環中實施。然而,該等CFD循環中之一些循環執行為變體循環且其等背離正常沈積循環之條件。舉例而言,其等可採用一個或多個額外反應物。此等變體循環亦可採用基本CFD製程中所採用之相同反應物,但情況不必如此。
此等CFD製程特別有利於製備摻雜氧化物或其他摻雜材料,例如CFD膜。在某些實例方案中,在該等CFD循環之僅一小部分中包括摻雜劑前體作為該「額外」反應物。添加摻雜劑之頻率取決於所期望之摻雜劑濃度。舉例而言,摻雜劑前體可包括於基礎材料沈積之每第10個循環中。
不同於許多其他沈積製程,特別是需要熱活化之沈積製程,該CFD製程可在一相對低的溫度下進行。通常,CFD溫度將介於約20℃與400℃之間。此溫度可經選取以准許在一溫度敏感製程背景下沈積,例如在一光阻劑芯上沈積。在一具體實施例中,對於雙圖案化應用使用一介於約20℃與100℃之間的溫度(使用例如光阻劑芯)。在另一實施例中,對於記憶體製作處理使用一介於約200℃與350℃之間的溫度。
如上文所提到,CFD很適合於在先進技術節點中沈積膜。因此,舉例而言,CFD處理可在32nm節點、22nm節點、16nm節點、11nm節點及除此等節點中之任一者以外處整合成若干個製程。此等節點闡述於國際半導體技術藍圖(ITRS)(多年來關於微電子技術要求之行業共識)中。通常,其等參考一記憶體單元之半間距。在一具體實例中,該CFD處理應用於「2X」裝置(具有處於20nm至29nm範圍之裝置特徵)且除其以外的裝置。
雖然本文中所呈現之CFD膜之大多數實例涉及基於矽之微電子裝置,但該等膜亦可在其他領域獲得應用。使用非矽半導體(例如GaAs及其他III-V半導體以及諸如HgCdTe之其他II-VI材料)之微電子學或光電子學可得益於使用本文中所揭示之CFD製程。保形介電膜於太陽能領域(例如光伏裝置)中、於電致變色領域中之應用係可能的。
圖1示意性地展示一電漿活化CFD製程之一實例性實施例之一時序圖100。圖中繪示兩個全CFD循環。如所示,每一全CFD循環皆包括反應物A曝露階段120、緊接著反應物B曝露階段140、反應物B清掃階段160及最後電漿活化階段180。在電漿活化階段180A及180B期間所提供之電漿能量活化表面吸附反應物物種A與B之間的一反應。在所繪示實施例中,在遞送一個反應物(反應物A)之後不實施任何清掃階段。實際上,此反應物在膜沈積製程期間連續地流動。因此,在反應物A處於該氣相中時點燃電漿。上述特徵1至3包含於圖1之實例中。
在所繪示實施例中,反應物氣體A及B可同時存在於該氣相中而不反應。因此,可在此實例性CFD製程中縮短或排除ALD製程中所述之製程步驟中之一者或多者。舉例而言,可排除A曝露階段120A及120B之後的清掃步驟。
可採用CFD製程來沈積許多不同類型之膜中的任一者。雖然本文中所呈現之實例中之大部分涉及介電材料,但亦可採用所揭示之CFD製程來形成導電膜及半導體材料膜。氮化物及氧化物係重要的介電材料,但亦可形成碳化物、氧氮化物、碳摻雜氧化物、硼化物等等。氧化物包括各式各樣的材料,包括未摻雜矽酸鹽玻璃(USG)、摻雜矽酸鹽玻璃。摻雜玻璃之實例包括硼摻雜矽酸鹽玻璃(BSG)、磷摻雜矽酸鹽玻璃(PSG)及硼磷摻雜矽酸鹽玻璃(BPSG)。
在某些實施例中,一氮化矽膜可由一含矽反應物與一含氮反應物及/或一含氮反應摻和物中之一者或多者之反應形成。實例性含矽反應物包括但不限於雙(第三丁基胺)矽烷(SiH2(NHC(CH3)3)2或BTBAS)、二氯甲矽烷(SiH2Cl2)及氯矽烷(SiH3Cl)。實例性含氮反應物包括但不限於氨、氮及第三丁基胺((CH3)3CNH2或第三丁基胺(t-butyl amine))。一實例性含氮反應摻和物包括但不限於氮與氫之一摻和物。
對一個或多個反應物之選擇可受到各種膜及/或硬體考慮事項驅動。舉例而言,在某些實施例中,可由二氯甲矽烷與電漿活化氮之反應形成一氮化矽膜。二氯甲矽烷化學吸附至一氮化矽表面可形成一以矽氫端基的表面,從而釋放氮化氫(HCl)。此化學吸附反應之一實例示意性地繪示於反應1中。
反應1中所示之環狀中間體隨後可經由與電漿活化氮之反應轉化成一以矽胺端基的表面。
然而,二氯甲矽烷之某些分子可藉由替代機制用化學方法吸附。舉例而言,表面形態可防礙反應1中所繪示之環狀中間體之形成。另一化學吸附機制之一實例示意性地展示於反應2中。
在氮之後續電漿活化期間,反應2中所示之中間體物種之剩餘氯原子可被釋放且可變為由電漿活化。此可造成對氮化矽表面之蝕刻,從而潛在地使氮化矽膜變為粗糙的或模糊的。此外,殘留氯原子可以物理方式及/或以化學方式重新吸附,從而潛在地污染所沈積膜。此污染可改變氮化矽膜之物理及/或化學性質。更進一步地,活化氯原子可造成對製程站硬體之部分之蝕刻損壞,從而潛在地減少製程站之部分之使用壽命。
因此,在某些實施例中,氯矽烷可取代二氯甲矽烷。此可減少膜污染、膜損壞及/或製程站損壞。氯矽烷化學吸附之一實例示意性地展示於反應3中。
雖然反應3中所繪示之實例使用氯矽烷作為含矽反應物,但應瞭解,可使用任一合適單取代鹵代矽烷。
如上文所解釋,所繪示中間體結構可與一氮源反應以形成氮化矽之一以矽胺端基的表面。舉例而言,氨可由一電漿活化,從而形成各種氨自由基物種。自由基物種與中間體反應,從而形成以矽胺端基的表面。
然而,氨可強物理吸附至反應物遞送管線、製程站及排氣管道之表面,從而可導致延長之吹掃及抽空時間。此外,氨可具有與某些氣相含矽反應物之高反應性。舉例而言,二氯甲矽烷(SiH2Cl2)與氨之氣相混合物可形成不穩定物種,例如二胺基矽烷(SiH2(NH2)2)。此等物種可在該氣相中分解,從而使小顆粒成核。若氨與在化學吸附鹵代矽烷期間所產生之氧化氫反應,則亦可形成小顆粒。此等顆粒可在製程站中累積,在那裏其等可污染基板表面,從而潛在地導致整合裝置瑕疵,且在那裏其等可污染製程站硬體,從而潛在地導致工具停機時間及清理。該等小顆粒亦可在排氣管道中累積,可堵塞幫浦及鼓風機,且可形成對特殊環境廢氣濾清器及/或冷阱之需要。
因此,在某些實施例中,可使用胺作為含氮反應物。舉例而言,由以烷基化物取代胺(例如第三丁基胺)之電漿活化形成之各種自由基可供應至製程站。取代胺(例如第三丁基胺)可對製程硬體具有一較氨為低之黏附係數,此可導致相對較低的物理吸附率及相對較少的製程吹掃時間。
此外,此等含氮反應物可形成較氯化銨相對更具揮發性之鹵化鹽。舉例而言,第三丁基氯化銨可較氯化銨相對更具揮發性。此可減少工具停機時間、裝置瑕疵形成及環境治理費用。
更進一步地,此等含氮反應物可經由各種副產物反應形成其他胺前體。舉例而言,第三丁基胺與二氯甲矽烷之反應可形成BTBAS。因此,該等副產物可提供形成氮化矽之替代途徑,從而潛在地提高膜良率。在另一實例中,取代胺可提供氮化矽膜之低溫熱活化途徑。舉例而言,第三丁基胺在高於300℃之溫度下熱分解從而形成異丁烯及氨。
雖然本文所提供之例示性實施例闡述使用第三丁基胺之氮化矽膜形成,但應瞭解,可採用任一合適取代胺,此仍歸屬於本發明之範疇。在某些實施例中,可基於反應物之熱力特性及/或反應特性來選擇合適取代胺。舉例而言,可考量由反應物形成之鹵化鹽之相對揮發性,如可考量在相關溫度下各種熱分解路徑之存在及選擇性。
此外,雖然本文所提供之實例闡述氮化矽膜之沈積,但應瞭解,上文所述之原理通常適用於其他膜之沈積。舉例而言,某些實施例可結合一合適含氧反應物物種(例如一氧電漿)使用合適鹵代矽烷,以沈積氧化矽。
反應物、產物膜及製程性質範圍之一非限制性清單提供於表1中。
圖1亦展示各種CFD製程參數之實例性CFD製程階段之一時間進展之一實例。圖1繪示兩個實例性沈積循環110A及110B,但應瞭解,任意合適數目個沈積循環可包括於一 CFD製程中以沈積一所期望膜厚度。實例性CFD製程參數包括但不限於惰性及反應物物種之流率、電漿功率及頻率、基板溫度及製程站壓力。一使用BTBAS及氧之實例性二氧化矽沈積循環之非限制性參數範圍提供於表2中。
一CFD循環通常含有一對每一反應物之曝露階段。在此「曝露階段」期間,一反應物遞送至一製程室以致使該反應物吸附在基板表面上。通常,在一曝露階段開始時,基板表面不具有任何明顯量的所吸附反應物。在圖1中,在反應物A曝露階段120A及B,反應物A以一受控流率供應至一製程站以使一基板之曝露表面飽和。反應物A可係任一合適沈積反應物,例如,一主要反應物或一輔助反應物。在其中CFD產生二氧化矽膜之一個實例中,反應物A可係氧。在圖1中所示之實施例中,反應物A貫穿沈積循環110A及110B連續流動。不同於其中分開膜前體曝露以防止氣相反應之一典型ALD製程,允許反應物A及B混雜在一CFD製程之某些實施例之氣相之中。如上所示,在某些實施例中,反應物A及B經選取以便其等可同時存在於該氣相中而不在施加電漿能量或活化表面反應之前在反應器中所遇到之條件下彼此明顯反應。在某些情況下,該等反應物經選取以使得(1)其等之間的一反應係熱力學有利的(即,Gibb之自由能<0)及(2)該反應物具有一高到足以在所期望沈積溫度下存在微不足道的反應之活化能量。滿足此等準則之各種反應物組合識別於本揭示內容中之其他位置處。許多這樣的組合包括對在室溫下呈固體之一元素產生影響之一主要反應物及不對在室溫下呈固體之一元素產生影響之一輔助反應物。某些組合中所使用之輔助反應物之實例包括氧、氮、烷基胺及氫。
連續地供應反應物A至製程站可與其中反應物A首先接通,然後穩定且曝露至基板,然後關斷並最終自一反應器移除之一ALD製程相比較減少或排除一反應物A流率接通及穩定時間。雖然圖1中所示之實施例將反應物A曝露階段120A及B繪示為具有一恆定流率,但應瞭解,可採用反應物A之任一合適流,包括一可變流,此仍歸屬於在本發明之範疇。此外,雖然圖1展示反應物A在整個CFD循環(沈積循環110A)期間具有一恆定流率,但情況不必如此。舉例而言,反應物A之流率在B曝露階段140A及140B期間減小。此可增大B之分壓且由此增大反應物B吸附在基板表面上的驅動力。
在某些實施例中,反應物A曝露階段120A可具有超過一基板表面反應物A飽和時間之一持續時間。舉例而言,圖1之實施例包括反應物A曝露階段120A中之一反應物A後飽和曝露時間130。視需要,反應物A曝露階段120A包括一惰性氣體之一受控流率。惰性氣體之實例包括但不限於氮、氬及氦。可提供惰性氣體以有助於對製程站之壓力及/或溫度控制、對一液體前體之蒸發、對該前體之更快遞送及/或作為一用於自製程站及/或製程站管道移除製程氣體之清掃氣體。
在圖1中所示之實施例之反應物B曝露階段140A,反應物B以一受控流率供應至製程站以使曝露基板表面飽和。在一個實例性二氧化矽膜中,反應物B可係BTBAS。雖然圖1之實施例將反應物B曝露階段140A繪示為具有一恆定流率,但應瞭解,可採用反應物B之任一合適流,包括一可變流,此仍歸屬於本發明之範疇。此外,應瞭解,反應物B曝露階段140A可具有任一合適持續時間。在某些實施例中,反應物B曝露階段140A可具有超過一基板表面反應物B飽和時間之一持續時間。舉例而言,圖1中所示之實施例繪示反應物B曝露階段140A中所包括之一反應物B後飽和曝露時間150。視需要,反應物B曝露階段140A可包括一合適惰性氣體之一受控流動,如上所述,該惰性氣體可有助於對製程站之壓力及/或溫度控制、對一液體前體之蒸發、對該前體之更快遞送且可防止製程站氣體之反向擴散。在圖11中所示之實施例中,一惰性氣體貫穿反應物B曝露階段140A不斷地供應至製程站。
在某些實施例中,沈積反應之電漿活化可產生較熱活化反應為低之沈積溫度,從而潛在地減少對一整合製程之可用熱預算之消耗。舉例而言,在某些實施例中,一電漿活化CFD製程可在室溫下進行。
雖然圖1中所繪示之CFD製程實施例係電漿活化的,但應瞭解,可使用其他非熱能源,此仍歸屬於本發明之範疇。非熱能源之非限制性實例包括但不限於紫外線燈、下游或遠端電漿源、電感耦合電漿及微波表面波電漿。
此外,雖然本文中所述之許多實例包括兩個反應物(A及B),但應瞭解,可採用任意合適數目個反應物,此仍歸屬於本發明之範疇。在某些實施例中,可使用一單個反應物及用於供應電漿能量以達成該反應物之一表面分解反應之一惰性氣體。另一選擇係,如上文在圖7之背景下所述,某些實施例可使用三個或更多個反應物來沈積一膜。
在某些情形中,表面吸附B物種可以不連續島嶼形式存在於基板表面上,從而難以達成反應物B之表面飽和。各種表面條件可延遲反應物B於基板表面上之成核及飽和。舉例而言,在吸附反應物A及/或B時所釋放之配位子可阻斷某些表面活性部位,從而防止進一步吸附反應物B。因此,在某些實施例中,反應物B之連續吸附層可藉由在反應物B曝露階段140A期間調變反應物B之一流及/或離散地將反應物B用脈衝輸送至製程站中來提供。此可為表面吸附及退附製程提供額外時間同時與一恒流情形相比較節省反應物B。
另外或另一選擇係,在某些實施例中,一個或多個清掃階段可包括於反應物B之連續曝露之間。舉例而言,圖2之實施例示意性地展示一沈積循環210之一實例性CFD製程時序圖200。在反應物B曝露階段240A,使反應物B曝露至基板表面。隨後,在清掃階段260A,關斷反應物B,並自製程站移除反應物B之氣相物種。在一個情形中,可藉由反應物A之一連續流動及/或該惰性氣體來位移氣相反應物B。在另一情形中,可藉由抽空製程站來移除氣相反應物B。移除氣相反應物B可移位一吸附/退附製程平衡,從而退附配位子,促進對所吸附B之表面重新排列以合併所吸附B之不連續島嶼。在反應物B曝露階段240B,再次使反應物B曝露至基板表面。雖然圖2中所示之實施例包括一反應物B清掃與曝露循環之一個示例,但應瞭解,可採用交替清掃與曝露循環之任意合適數目個反覆,此仍歸屬於本發明之範疇。
回到圖1之實施例,在180A處之電漿活化之前,在某些實施例中,可在清掃階段160A中自製程站移除氣相反應物B。一CFD循環可除上述曝露階段以外還包括一個或多個清掃階段。清掃製程站可避免其中反應物B對電漿活化敏感之氣相反應。此外,清掃製程站可移除原本可能繼續存在且污染膜之表面吸附配位子。實例性清掃氣體包括但不限於氬、氦及氮。在圖1中所示之實施例中,用於清掃階段160A之清掃氣體係由惰性氣體流供應。在某些實施例中,清掃階段160A可包括用於抽空製程站之一個或多個抽空子階段。另一選擇係,應瞭解,在某些實施例中,可省去清掃階段160A。
清掃階段160A可具有任一合適持續時間。在某些實施例中,增大一個或多個清掃氣體之流率可減少清掃階段160A之持續時間。舉例而言,可根據製程站及/或製程站管道之各種反應動力特性及/或幾何特性來調整一清掃氣體流率以修改清掃階段160A之持續時間。在一個非限制性實例中,可藉由調整清掃氣體流率來優化一清掃階段之持續時間。此可減少沈積循環時間,從而可提高基板吞吐量。
一CFD循環通常除上文所述之曝露階段及可選清掃階段以外還包括一「活化階段」。該活化階段用來驅動吸附在基板表面上之該一個或多個反應物之反應。在圖1中所示之實施例之電漿活化階段180A,提供電漿能量以活化表面吸附反應物A與B之間的表面反應。舉例而言,電漿可直接地或間接地活化反應物A之氣相分子以形成反應物A自由基。此等自由基隨後可與表面吸附反應物B相互作用,從而產生膜形成表面反應。電漿活化階段180A結束沈積循環110A,沈積循環110A在圖1之實施例中後跟從反應物A曝露階段120B開始之沈積循環110B。
在某些實施例中,可直接在基板表面上方形成在電漿活化階段180A點燃之電漿。此可提供更大之電漿密度並增強反應物A與B之間的表面反應速率。舉例而言,用於CFD製程之電漿可藉由使用兩個電容耦合板對一低壓氣體施加一射頻(RF)場來產生。在替代實施例中,一遠端產生之電漿可產生於主反應室外部。
可使用任何合適氣體來形成電漿。在一第一實例中,可使用惰性氣體(例如氬或氦)來形成電漿。在一第二實例中,可使用一反應物氣體(例如氧或氨)來形成電漿。在一第三實例中,可使用一清掃氣體(例如氮)來形成電漿。當然,可採用此等類別之氣體之組合。因RF場而在板之間游離之氣體點燃電漿,從而在電漿放電區域中形成自由電子。此等電子由RF場加速且可與氣相反應物分子碰撞。此等電子與反應物分子之碰撞可形成參與沈積製程之自由基物種。應瞭解,RF場可經由任何合適電極耦合。電極之非限制性實例包括製程氣體分佈蓮蓬頭且基板支撐基座。應瞭解,用於CFD製程之電漿可藉由除將一RF場電容耦合至一氣體以外的一種或多種合適方法形成。
電漿活化階段180A可具有任一合適持續時間。在某些實施例中,電漿活化階段180A可具有超過電漿活化自由基與所有曝露基板表面及吸附物相互作用,從而在基板表面頂上形成一連續膜之一時間之一持續時間。舉例而言,圖1中所示之實施例包括電漿活化階段180A之一電漿後飽和曝露時間190。
如在下文中更全面地解釋,且如在上文對特徵4之說明中所提到,延長一電漿曝露時間及/或提供複數個電漿曝露階段可提供對所沈積膜之整體及/或近表面部分之後反應處理。在一個情形中,藉由電漿處理來減少表面污染可使表面對吸附反應物A有所準備。舉例而言,由一含矽反應物與一含氮反應物之反應形成之一氮化矽膜可具有一阻擋對後續反應物之吸附之表面。藉助電漿來處理氮化矽表面可形成用於促進後續吸附及反應事件之氫鍵。
在某些實施例中,可藉由改變將在下文中更詳細闡述之電漿參數來調整膜性質,例如膜應力、介電常數、折射率、蝕刻速率。表3提供關於在400攝氏度下沈積之三個實例性CFD二氧化矽膜之各種膜性質之一實例性清單。為了參考目的,表3亦包括關於在400攝氏度下沈積之一實例性PECVD二氧化矽膜之膜資訊。
舉例而言,圖3示意性地展示包括一沈積階段310後跟一電漿處理階段390之一CFD製程時序圖300之一實施例。應瞭解,可在電漿處理階段期間使用任一合適電漿。在一第一情形中,可在沈積循環中之活化期間使用一第一電漿氣體且可在電漿處理階段期間使用一第二、不同電漿氣體。在一第二情形中,一第二、不同電漿氣體可在電漿處理階段補充一第一電漿氣體。一實例性原位電漿處理循環之非限制性參數範圍提供於表4中。
在圖3中所示之電漿活化階段380,使基板表面曝露至一電漿以活化一膜沈積反應。如圖3中所示之實施例中所繪示,給製程站提供反應物A(其可係例如一輔助反應物,例如氧)之一連續流動且在電漿處理清掃階段390A提供一惰性氣體。清掃製程站可自製程站移除揮發性污染物。雖然,圖3中展示之一清掃氣體,但應瞭解,可使用任一合適反應物移除方法,此仍歸屬於本發明之範疇。在電漿處理活化階段390B,點燃一電漿以處理新沈積膜之整體/或近表面區域。
雖然圖3之實施例包括一包括一電漿處理階段之CFD循環之一個示例,但應瞭解,可採用任意合適數目個反覆,此仍歸屬於本發明之範疇。此外,應瞭解,可在正常沈積循環之間不時(定期或不定期)插入一個或多個電漿處理循環。舉例而言,圖4展示包括在兩個沈積循環之間插入之一電漿處理階段之一CFD製程時序圖400之一實施例。雖然圖4之實施例包括在兩個沈積循環之間插入之一電漿處理循環,但應瞭解,任意合適數目個沈積循環可在一個或多個電漿處理循環之前或之後出現。舉例而言,在其中使用一電漿處理來改變一膜密度之一情形中,可在每第十個沈積循環之後插入一電漿處理循環。在其中使用一電漿處理來使一表面對吸附及反應事件有所準備之一情形中,可在每一CFD循環中(例如,在每一CFD沈積階段之後)併入一電漿處理階段。
對沈積膜之電漿處理可改變該膜之一個或多個物理特性。在一個情形中,一電漿處理可使一新沈積膜緻密。緻密膜可比非緻密膜更抗蝕刻。舉例而言,圖5展示實例性CFD處理二氧化矽膜相對於熱生長二氧化矽膜之一蝕刻速率比較500之一實施例。圖5之實例性膜實施例係藉由CFD製程502及504在一自50至400攝氏度之溫度範圍內沈積。為了參考,未摻雜矽酸鹽玻璃(USG)與藉由電漿增強CVD製程沈積之二氧化矽間隔層之相對蝕刻顯示於圖5中。藉由製程502產生之膜(其包括每一沈積循環中之一1秒高頻氧電漿活化階段)對一稀氫氟酸蝕刻(100:1 H2O:HF)之抗性為膜504(其包括每一沈積循環中之一10秒高頻氧電漿活化階段)的大約一半。因此,應瞭解,改變電漿活化階段之一個或多個態樣及/或包括一個或多個電漿處理循環可改變一沈積膜之一蝕刻速率。
在另一情形中,對一膜之電漿處理可改變該膜之應力特性。舉例而言,圖6展示實例性CFD二氧化矽膜之濕式蝕刻速率比與膜應力之間的一相關600之一實施例。在圖6中所示之實施例中,藉由(例如)延長一電漿曝露時間之濕式蝕刻速率比減小可增大一壓縮膜應力。
在另一情形中,對一沈積膜之電漿處理可提供對痕量膜污染物(例如,一實例性二氧化矽膜中之氫、氮及/或碳)相對於其他膜成分(例如,一實例性二氧化矽膜中之矽及/或氧)之瞬變差動移除。舉例而言,圖7展示沈積溫度、電漿曝露時間與膜污染物濃度之間的一相關700之一實施例。在圖7中所示之實施例中,在50攝氏度下沈積且具有10秒氧電漿活化階段之一CFD二氧化矽膜704展現低於在同一溫度下沈積但具有1秒氧電漿活化階段之一CFD二氧化矽膜702之氫及碳濃度。修改一膜中之污染物濃度可修改該膜之電性質及/或物理性質。舉例而言,調變碳及/或氫含量可調變一膜介電常數及/或一膜蝕刻速率。因此,應瞭解,改變電漿活化階段之一個或多個態樣及/或包括一個或多個電漿處理循環可提供一用於改變膜組合物之方法。
雖然上文所述之電漿處理係關於一氧電漿處理,但應瞭解,可採用任一合適電漿處理,此並不背離本發明之範疇。舉例而言,在某些實施例中,代替NH3可在一合適CFD製程中採用一取代胺作為一含氮反應物。儘管以一取代胺(例如,如同第三丁基胺之烷基胺)代替NH3來進行保形沈積可提供許多益處,但在某些示例中,沈積膜可包括起源於烷基胺反應物之碳渣(例如,來自每一第三丁基胺分子(NH2-(CH3)3)中所包括之三個甲基之碳渣包括)。此膜內碳可產生電洩漏且可使膜變得不可用於某些介電障壁層應用。
因此,在某些實施例中,在SiN膜沈積期間點燃一氫電漿可減少該SiN膜中之碳渣,從而相對改良該膜之絕緣特徵。在某些實例中,碳渣之減少可容易在FTIR光譜中觀察到。舉例而言,SiN:C-H位準可自大約10%原子性減至大約1%原子性。
因此,在某些實施例中,可使用包括於一烷基胺或含氮反應物中之烷基胺與一氫電漿處理之一個或多個示例之一混合物藉助一CFD製程來沈積一氮化矽膜。應瞭解,可採用任一合適氫電漿,此並不背離本發明之範疇。因此,在某些實施例中,可使用H2與一氣體(例如He或Ar、或其他含H氣體、或由一遠端電漿源產生之活性H原子)之一摻和物來處理該沈積膜。此外,在某些實施例中,可藉由改變處理脈衝數目及其持續時間、處理電漿之強度、基板溫度及處理氣體組合物中之一者或多者來將該膜之碳含量調諧至任一合適濃度。
雖然上文所述之氫電漿處理係關於一氮化矽膜,但應瞭解,可使用對一合適氫電漿處理之應用來調整其他CFD沈積膜之碳含量,包括但不限於SiOx、GeOx及SiOxNy
本文中所揭示之某些實施例涉及對氧化物CFD膜之紫外線處理(藉助或不藉助電漿處理)。該處理可減輕氧化物中之瑕疵且改良一閘極電介質之電性質,例如CV特性。採用可受益於此處理之CFD氧化物之裝置及封裝應用包括穿矽通孔,採用閘極氧化物之邏輯技術、淺溝槽隔離(STI)、在STI光阻劑剝除之後形成薄熱氧化、在一P井植入之前的犧牲氧化物(例如,~60A)、後「井」熱氧化物生長、閘極/通道氧化物、DRAM PMD PECVD氧化物。
在某些情況下,已觀察到未經處理的CFD氧化物膜因據信沈積成形膜中之固定電荷而具有相對差的電效能。舉例而言,已發現某些膜具有顯著晶圓內部Vfb變化。此等問題已藉由在氫存在下藉助UV輻射及/或一熱退火使用一後沈積處理而得到解決。據信,此製程使與(1)氧化物-矽介面處(2)沈積介電膜內或(3)空氣-氧化物表面處之固定電荷(表面電荷)相關之瑕疵鈍化及/或減輕。使用此處理,已在UV固化之後使沈積成形氧化物之Vfb展布已自8.3 V變緊至1.5 V。
雖然此等實施例主要與改良氧化物膜有關,但所揭示方法可廣泛適用於電介質、金屬、金屬-電介質介面工程設計。具體介電材料包括(例如)氧化矽(包括摻雜氧化矽)、碳化矽,碳氧化矽、氮化矽、氧氮化矽及可灰化硬遮罩材料。
可適用於提高介電性質之處理之實例包括如下:
(A)藉助UV固化及隨後氫退火對藉由CFD合成之介電膜之後沈積處理。在最簡單實施例中,可單獨使用UV處理以減小固定電荷。
(B)在He、H2、Ar、N2、H2/N2形成氣體、NH3存在下藉助包括如下之處理在CFD介電膜沈積之前對該基板之預處理:H2電漿、N2電漿、N2/H2電漿、NH3電漿、Ar電漿、He電漿、He退火、H2退火、NH3退火及UV固化。電漿處理可藉由各種電漿產生器來實現,包括但不限於微波電漿產生器、ICP遠端電漿產生器、直接電漿產生器及類似電漿產生器。
(C)在He、H2、Ar、N2、H2/N2形成氣體、NH3存在下藉助包括如下之處理之同時處理(沈積期間之固化):H2電漿、N2電漿、N2/H2電漿、NH3電漿、Ar電漿、He電漿、He退火、H2退火、NH3退火及UV固化。電漿處理可藉助各種電漿產生器來實施,包括但不限於微波電漿產生器、ICP遠端電漿產生器、直接電漿產生器及熟習此項技術者習知之其他電漿產生器。一實例性方法包括在CFD循環群組之間對膜之間歇處理。一CFD循環群組可從約1個循環到100,00個循環不等。一典型情形包括:(1) 5個CFD氧化物生長循環,後跟(2)藉由上文所述之方法中之任一者之一個或多個膜處理(例如,He電漿、UV處理),後跟(3) 5個CFD氧化物生長循環。此方法可用於生長一具有任一所期望厚度之膜。
(D)由上文所列出之任一電漿作為副產物賦予之UV處理(例如,一氦電漿發射UV輻射)。
在CFD循環期間之原位「固化」之一程序之一個實例涉及如下操作:
(1)經由He電漿之UV處理
(2)BTBAS劑量
(3)吹掃
(4)O2/Ar-RF電漿活化
(5)吹掃
(6)重複步驟1至5以產生一具有所期望厚度之膜。
可在所列出背景中之任一背景下採用一範圍之UV固化條件。通常,基座溫度將在固化期間維持在約250℃與500℃之間。對於許多裝置製作應用,上限溫度將限制至450℃或甚至400℃。固化期間所採用之環境可係惰性的或反應性的。固化期間可存在之氣體之實例包括氦、氬、氮、形成氣體及氨。此等氣體之流率可為約2sccm至20,000sccm,較佳約4,000至18,000sccm。UV燈之功率可為(例如)約2至10kW,且較佳介於約3.5kW與7kW之間。曝露至來自此源之UV之一適當持續時間介於約20秒與200秒之間(例如,約90秒)。最後,可使壓力保持在一介於0托與約40托之間的位準下。
在一具體實施例中,使用如下條件來獲得對CFD氧化物之有效處理:
1.基座溫度=400℃
2.環境=He
3.壓力=40托He
4.流率=10,000sccm
在某些實施例中,在UV固化操作之後實施對該氧化物之熱退火。在一個實例中,在該退火中使用如下條件:
1.基座溫度=400℃
2.環境=H2+N2
3.壓力=2.5托(Torr)
4.流率=750sccm H2;3000sccm N2
亦可藉由調整其他製程參數(例如沈積溫度)來改變所沈積膜之物理及電特性。舉例而言,圖7中所繪示之實施例之相關700亦展示CFD膜沈積溫度與膜污染物濃度之間的一實例性關係。隨著膜沈積溫度增大,膜污染物之納入減小。在另一實例中,圖5中所示之實施例圖解說明實例性二氧化矽CFD膜之濕式蝕刻速率比隨著沈積溫度增大而減小,如上所述。可經調整以調諧膜性質之其他沈積參數包括RF功率、RF步驟、壓力及流率。此外,在某些實施例中,可藉由改變反應物選擇來改變膜特性。舉例而言,可藉由使用四異氰酸酯矽烷(TICS)作為含矽反應物並使用氧及/或氧化氬氮作為含氧反應物來減少二氧化矽膜之氫含量。
應瞭解,如同上文所述之物理及/或電膜特性之變異可提供調整裝置效能及良率之可能性以及修改裝置製造製程整合之態樣之可能性。作為一個非限制性實例,調諧一CFD二氧化矽膜之蝕刻速率特性之能力可使該膜成為蝕刻停止層、硬遮罩及其他製程整合應用之一侯選。因此,本文中針對貫穿一整合半導體裝置製作製程之應用提供CFD產生膜之各種實施例。
在一個情形中,一CFD製程可在一非平面基板上沈積一保形二氧化矽膜。舉例而言,可使用一CFD二氧化矽膜來對結構進行間隙填充,例如對淺溝槽隔離(STI)結構進行溝槽填充。雖然上文所述之各種實施例係關於一間隙填充應用,但應瞭解,此僅係一非限制性、例示性應用,且利用其他合適膜材料之其他合適應用可仍歸屬於本發明之範疇。CFD二氧化矽膜之其他應用包括但不限於層間介電(ILD)應用、金屬間介電(IMD)應用、預金屬介電(PMD)應用、穿矽通孔(TSV)介電襯墊應用、電阻式RAM(ReRAM)應用及/或DRAM中堆疊電容器製作應用。
可使用摻雜氧化矽作為硼、磷或甚至砷摻雜劑之擴散源。舉例而言,可使用硼摻雜矽酸鹽玻璃(GSG)、磷摻雜矽酸鹽玻璃(PSG)或甚至硼磷摻雜矽酸鹽玻璃(BPSG)。可採用摻雜CFD層來提供例如三維電晶體結構(例如多閘FinFET記憶體裝置及三維記憶體裝置)中之保形摻雜。習用離子植入機無法輕地易摻雜側壁,尤其在高縱橫比結構中。作為擴散源之CFD摻雜氧化物具有各種優點。首先,其提供低溫下的高保形性。相比而言,低壓CVD製作之摻雜TEOS(原矽酸四乙酯)係已知的但需要在高溫下沈積,且次大氣壓CVD及PECVD摻雜氧化物膜可存在於較低溫度下但沒有足夠的保形性。摻雜之保形性很重要,但膜本身之保形性亦很重要,因為該膜通常係一犧牲應用且隨後將需要移除。一非保形膜通常在移除時面臨更多挑戰,即,某些區可被過蝕刻。另外,CFD提供極佳受控摻雜濃度。如所提及,一CFD製程可自幾層未摻雜氧化物後跟一單層摻雜提供。摻雜位準可受用來沈積摻雜層之頻率及摻雜循環之條件嚴格控制。在某些實施例中,藉由例如使用一具有大量位阻之摻雜劑源來控制該摻雜循環。除習用矽基微電子學以外,CFD摻雜之其他應用包括基於III-V半導體(例如GaAs)及II-VI半導體(例如HgCdTe)之微電子學及光電子學、光電學、平面顯示器以及電致變色技術。
某些間隙填充製程涉及在不同沈積工具上實施之需要沈積製程之間的真空破壞及空氣曝露之兩個膜沈積步驟。圖8示意性展示包括複數個間隙802之一實例性非平面基板800。如圖8中所繪示,間隙802可具有可界定為每一間隙802之間隙深度(H)對間隙寬度(W)之比之各不相同的縱橫比。舉例而言,一整合半導體裝置之一邏輯區可具有對應於不同邏輯裝置結構之各不相同的間隙縱橫比。
如圖8中所繪示,非平面基板800由一薄、保形膜804覆蓋。雖然保形膜804已完全填充間隙802A,但間隙802B及802C仍然開著。用保形膜來封裝間隙802B及802C可導致延長之製程時間。因此,在某些方法中,可藉由一較高的沈積速率製程(例如一CVD及/或PECVD方法)非原位沈積一較厚的膜。然而,非原位沈積間隙填充膜可減少一生產線中之晶圓吞吐量。舉例而言,沈積工具之間的基板搬運及傳送時間可減少一生產週期期間之基板處理活動數目。此可減少生產線吞吐量且可能需要在該生產線中安裝並維持額外製程工具。
此外,雖然間隙802C可具有適合於一氣相沈積製程之一縱橫比,但802C可具有可導致一較高沈積速率製程之不完全填充且可形成一鎖孔空隙(keyhole void)之一縱橫比。舉例而言,圖10展示基板1002中所形成之一實例性高縱橫比結構1000。如圖10中所繪示,沈積較厚膜1006期間之麵包中央隆起效應已產生鎖孔空隙1008。鎖孔空隙可重新打開且在後續製程中由導電膜填充,從而可導致裝置短路。
應對高縱橫比間隙(例如間隙802B)之某些方法包括提供避免形成此等間隙之裝置設計規則。然而,此等設計規則可能需要額外遮罩步驟,可使裝置設計變得困難,及/或可導致增大之整合半導體裝置面積,從而可增加製造成本。因此,在某些實施例中,一CFD製程可包括一自一CFD製程至一CVD及/或一PECVD製程之原位過渡。舉例而言,圖9展示已被分成三個階段之一CFD製程時序圖900之一實施例。CFD製程階段902繪示一實例性CFD製程循環。為清晰起見,一單個CFD製程循環展示於圖9中所繪示之實施例中,但應瞭解,任意合適數目個CFD製程循環及電漿處理循環可包括於CFD製程階段902中。一過渡階段904跟隨CFD製程階段902。如圖9之實施例中所繪示,過渡階段904包括一CFD製程及一PECVD製程兩者之態樣。特定而言,反應物B在反應物B曝露階段904A結束之後提供至製程站以便反應物A及B在電漿活化階段904B期間皆存在於該氣相中。此可與CFD型表面反應同時提供PECVD型氣相反應。雖然過渡階段904包括反應物B曝露階段904A及電漿活化階段904B之僅一個反覆,但應瞭解,任意合適數目個反覆可包括於一過渡階段內。
在某些實施例中,可控制一電漿產生器以在電漿活化階段904B期間提供間歇電漿能量脈衝。舉例而言,可以一個或多個頻率用脈衝輸送該電漿,包括但不限於介於10 Hz與150 Hz之間的頻率。此可藉由與一連續電漿相比降低離子轟擊之方向性來增強階梯覆蓋。此外,此可減輕對基板之離子轟擊損壞。舉例而言,可在一連續電漿期間藉由離子轟擊來腐蝕光阻劑基板。用脈衝輸送電漿能量可減輕光阻劑侵蝕。
在圖9中所示之實施例中,反應物B在電漿活化階段904B期間之流率小於反應物B在反應物B曝露階段904A期間之流率。因此,可在電漿活化階段904B期間使反應物B「涓流」至製程站中。此可提供一補充CFD型表面反應之氣相PECVD反應。然而,應瞭解,在某些實施例中,可在一單個電漿活化階段期間或在一過渡階段期間改變反應物B之流率。舉例而言,在包括反應物B曝露及電漿活化之兩個反覆之一過渡階段中,反應物B在一第一電漿活化階段期間之一流率可低於反應物B在第二電漿活化階段期間之一流率。改變反應物B在電漿活化階段904B期間之一流率可提供一自CFD製程階段902之階梯覆蓋特性至PECVD製程階段906之沈積速率之平穩過渡。
圖26繪示展現藉由上文所述之原位過渡製程之一實施例沈積之各種層之一非平面基板2602之一實例性截面2600。保形膜2604可在一CFD製程階段之一實施例中沈積。第一過渡膜2606及第二過渡膜2608可如同上文所述之實例在一渡過階段之一實施例中沈積。舉例而言,第一過渡膜2606可在反應物B在該過渡階段期間之一第一、較低流率下沈積,且第二過渡膜2608可在反應物B稍後在該過渡階段之一第二、較高流率下沈積。此可提供在該過渡階段期間沈積速率之增大,從而可減少該工具之循環時間並增加工具吞吐量。圖28展示根據本發明之一實施例沈積速率與一自一CFD表面反應階段2802至一PECVD階段2804之過渡之間的一實例性相關2800。圖28亦展示分別對應於CFD表面反應階段2802及PECVD階段2804之實例性掃描電子顯微鏡(SEM)影像2806及2808。
另一選擇係或另外,在某些實施例中,反應物B之一流率可在一過渡階段之一電漿活化階段期間恆定。圖27繪示其中反應物B之流率在過渡階段2704之一電漿活化階段2704B期間恆定之一CFD製程時序圖2700之一實施例。
在某些實施例中,可貫穿一膜沈積製程採用同時PECVD型氣相反應及CFD型表面反應。舉例而言,可藉由同時CFD型及PECVD型反應用一半保形膜來填充一穿矽通孔(TSV)之一介電襯墊。
當反應物B與反應物A同時存在於一電漿環境中時可出現同時PECVD型及CFD型反應。在某些實施例中,反應物同時存在於一電漿環境中可因在停止供應反應物B之後反應物B繼續存在於一製程站中,從而繼續使反應物B曝露至基板而引起。舉例而言,圖29展示一CFD製程之一實施例之一時序圖2900,該實施例包括停止供應反應物B至製程站與電漿活化之間的一具有一正持續時間(清掃+ve)之清掃階段。作為另一實例,圖30展示一CFD製程之一實施例之另一時序圖3000,該實施例不包括停止供應反應物B與電漿活化之間的一清掃階段(例如,具有一清掃時間=0)。
在某些實施例中,反應物同時存在於一電漿環境中可因同時供應反應物B至製程站及電漿活化而引起。舉例而言,圖31展示一CFD製程之一實施例之一時序圖3100,該實施例具有供應反應物B至製程站與電漿活化之間的一重疊(由一「負」清掃時間(清掃-ve)指示)。
在某些實施例中,一CFD製程可包括一用於選擇性地移除沈積膜之一凹入部分之一原位蝕刻。包括一間隙填充CFD製程之一原位蝕刻之一實例性二氧化矽沈積製程之非限制性參數範圍提供於表5中。
圖11展示包括一沈積階段1102、一蝕刻階段1104及一後續沈積階段1106之一CFD製程時序圖1100之一實施例。在圖11中所示之實施例之沈積階段1102,在基板之曝露表面上沈積膜。舉例而言,沈積階段1102可包括一個或多個CFD製程沈積循環。
在圖11之實施例之蝕刻階段1104,關斷反應物A及B並將一蝕刻氣體引入至製程站。一蝕刻氣體之一個非限制性實例係三氟化氮(NF3)。在圖11中所繪示之實施例中,藉由在蝕刻階段1104期間點燃之一電漿來活化該蝕刻氣體。可在用於選擇性地移除一非平面基板上之一沈積膜之一凹入部分之蝕刻階段1104期間調整蝕刻氣體流率。可採用任一合適蝕刻製程,此仍歸屬於本發明之範疇。其他實例性蝕刻製程包括但不限於反應性離子蝕刻、非平面氣相蝕刻,固相昇華、及對蝕刻物種之吸附與定向活化(例如,藉由離子轟擊)。
在某些實施例中,可在蝕刻膜之前或之後自製程站移除不相容的氣相物種。舉例而言,圖11之實施例包括在關斷反應物A及B之後及在蝕刻階段1104期間關斷蝕刻氣體之後一惰性氣體之一連續流動。
在蝕刻階段1104結束時,一沈積階段1106開始進一步填充非平面基板上之間隙。沈積階段1106可係任一合適沈積製程。舉例而言,沈積階段1106可包括一CFD製程、一CVD製程、一PECVD製程等中之一者或多者。雖然圖11之實施例展示一單個蝕刻階段1104,但應瞭解,可在一間隙填充製程期間在任一合適類型之多個沈積階段之中不時插入複數個原位蝕刻製程。
圖12A至C繪示一非平面基板在上文所述之原位沈積及蝕刻製程之一實施例之各個階段之實例性截面。圖12A展示包括一間隙1202之一實例性非平面基板1200之一截面。間隙1202由一薄膜1204覆蓋。薄膜1204幾乎與間隙1202保形,但薄膜1204包括靠近間隙1202之頂部附近的一凹入部分1206。
在圖12B中所繪示之實施例中,已選擇性地移除薄膜1204之凹入部分1206且薄膜1204之一上部區域1204A薄於一下部區域1204B。可藉由對活性蝕刻物種施加質量傳送限制及/或壽命限制來達成對凹入部分之選擇性移除及/或側壁角調整。在某些實施例中,在間隙1202之頂部處進行選擇性蝕刻亦可調整間隙1202之一側壁角,以便間隙1202在頂部處較在底部處為寬。此可進一步減輕後續沈積階段中之麵包中央隆起效應。在圖12C中所示之實施例中,在一後續沈積階段之後,間隙1202幾乎被填滿且未展現空隙。
一原位蝕刻製程之另一實施例展示於繪示一銅電極之一穿矽通孔(TSV)2500之圖25中。某些實例具有大約105微米之深度及大約6微米之直徑,從而得到一大約17.5:1縱橫比,且可具有一大約200攝氏度之熱預算上限。如圖25之實施例中所示,穿矽通孔2500由一介電隔離層2502覆蓋以將一矽基板與一金屬填充通孔電隔離。實例性介電隔離層材料包括但不限於氧化矽、氮化矽、一低k介電材料。在某些實施例中,上文所述之實例性蝕刻製程可補充以使用一合適濺鍍氣體(例如氬)來對凹入部分進行物理濺鍍。
CFD膜之其他實例性應用包括但不限於用於後端線互連隔離應用之保形低k膜(例如,在某些非限制性實例中k大約為3.0或更低)、用於蝕刻停止層及間隔層應用之保形氮化矽膜、共開抗反射層及銅黏結與障壁層。可使用CFD來製作許多不同組合物的低k電介質以用於BEOL處理。實例包括氧化矽、氧摻雜碳化物、碳摻雜氧化物、氧氮化物及類似物。
在另一實例中,在一個整合製程情形中,可在光阻劑「芯」上方沈積二氧化矽間隔層。使用光阻劑芯而不是替代芯材料(例如碳化矽層)可排除整合製程中之一圖案化步驟。該製程可涉及使用常規微影技術來圖案化光阻劑並隨後直接在彼芯上方沈積一薄CFD氧化物層。然後,可使用一定向乾式蝕刻製程來移除圖案化光阻劑之頂部處及底部處之CFD氧化膜從而只留下沿圖案化光阻劑之側壁(視為溝槽)之材料。在此階段,可使用簡單灰化來移除留在CFD氧化物後面的曝露芯。當一旦存在一單個光阻劑線時,這時存在兩個CFD氧化物線。以此方式,該製程使圖案化密度倍增;因此其有時稱作「雙重」圖案化。遺憾的是,使用光阻劑芯可將間隔層沈積溫度限制為小於70攝氏度,此可小於習用CVD、PECVD及/或ALD製程之沈積溫度。因此,在某些實施例中,可在低於70攝氏度之溫度下沈積一低溫CFD二氧化矽膜。應瞭解,存在對於合適CFD產生膜之其他潛在整合製程應用,此仍歸屬於本發明之範疇。另外,在各種實施例中,一氮化物(例如一如上沈積之氮化矽)可在半導體裝置製造之各個階段用作一保形擴散障壁層及/或蝕刻停止層。
雖然上文已針對沈積、處理及/或蝕刻單膜型闡述各種CFD沈積製程,但應瞭解,仍歸屬於本發明之範疇之某些CFD製程可包括原位沈積複數個膜型。舉例而言,可原位沈積交替層膜型。在一第一情形中,可藉由原位沈積氮化矽/氧化矽間隔物堆疊來製作一閘極裝置之雙間隔物。此可減少循環時間並增加製程站吞吐量,且可避免由潛在膜層不相容性所形成之層間瑕疵。在一第二情形中,可沈積用於微影圖案化應用之一抗反射層作為一具有可調光學性質之SiON或非晶矽及SiOC堆疊。
應瞭解,對於上文所述之實施例中之一者或多個可採用任一合適製程站。舉例而言,圖13示意性地展示一CFD製程站1300之一實施例。為了簡明起見,CFD製程站1300繪示為具有一用於維持一低壓環境之製程室本體1302之一獨立製程站。然而,應瞭解,複數個CFD製程站1300可包括於一常見低壓製程工具環境中。雖然圖13中所繪示之實施例展示一個製程站,但應瞭解,在某些實施例中,複數個製程站可包括於一處理工具中。舉例而言,圖24繪示一多站處理工具2400之一個實施例。此外,應瞭解,在某些實施例中,可藉由一個或多個電腦控制器程式化地調整CFD製程站1300之一個或多個硬體參數,包括下文詳細闡述之彼等參數。
CFD製程站1300與用於遞送製程氣體至一分佈蓮蓬頭1306之反應物遞送系統1301流體連通。反應物遞送系統1301包括一用於摻和及/或調節供遞送至蓮蓬頭1306之製程氣體之混合容器1304。一個或多個混合容器進氣閥1320可控制製程氣體至混合容器1304之引入。
如同BTBAS之某些反應物可在製程站處之汽化及隨後至製程站之遞送之前以液體形式儲存。舉例而言,圖13之實施例包括一用於汽化欲供應至混合容器1304之液體反應物之汽化點1303。在某些實施例中,汽化點1303可係一加熱汽化器。自此等汽化器產生之飽和反應物蒸氣可在下游遞送管道系統中凝結。不相容氣體曝露至凝結反應物可形成小顆粒。此等小顆粒可阻塞管道系統,阻礙閥操作,污染基板等等。應對此等問題之某些方法涉及清掃及/或抽空遞送管道系統以移除殘留反應物殘餘。然而,清掃遞送管道系統可增加製程站循環時間,從而降低製程站吞吐量。因此,在某些實施例中,可對汽化點1303下游之遞送管道系統進行熱追蹤。在某些實例中,亦可對混合容器1304進行熱追蹤。在一個非限制性實例中,汽化點1303下游之管道系統具有一在混合容器1304處自大約100攝氏度延伸至大約150攝氏度之遞增溫度剖面。
在某些實施例中,反應物液體可在液體注射器處汽化。舉例而言,一液體注射器可將液體反應物之脈衝注入混合容器上游之載體氣體流中。在一個情形中,一液體注射器可藉由將液體自一較高壓力閃蒸至一較低壓力來使反應物汽化。在另一情形中,一液體注射器可使液體霧化成隨後在一受熱遞送管中汽化之分散微滴。應瞭解,較小液滴可比較大液滴更快地汽化,從而減小液體注射與完全汽化之間的一延遲。更快汽化可減小汽化點1303下游之管道系統之一長度。在一個情形中,一液體注射器可直接安裝至混合容器1304。在另一情形中,一液體注射器可直接安裝至蓮蓬頭1306。
在某些實施例中,可藉由一致動器(例如,一受控柱塞、針形閥、壓電閥等等)來控制經由一液體注射器之流動。另外,在某些實施例中,液體注射器可幾乎沒有致動器與液體注射器之排氣孔之間的容積。舉例而言,致動器可直接密封液體注射器之排氣孔。此可減少由致動器下游之毛細管或其他力所保持之液體量。此液體可在注射停止之後繼續蒸發,從而使液體反應物脈衝逐漸減弱而不是突然結束,從而潛在地在與不相容製程氣體相互作用時引起小顆粒及/或引起液體反應物之一不受控制曝露量。
在某些實施例中,可控制液體注射器以產生注射剖面。舉例而言,可指示液體注射器注射複數個更小曝露量而不是單個大的曝露量以延長曝露時間,提供飽和覆蓋等等。此外,可調變成分更小曝露量中之一者或多者以進一步應對該等間題中之一者或多者。
應瞭解,可採用任一合適液體注射器,此仍歸屬於本發明之範疇。舉例而言,在一第一情形中,可使用包括針形閥之汽車燃料注射器作為液體注射器。由汽車燃料注射器提供之實例性液滴可係但不限於尺寸從5微米到40微米之液滴。實例性注射脈衝寬度可包括但不限於從1ms到50ms之寬度。
在一第二情形中,可使用包括壓電幫浦之一個或多個微機電系統(MEMS)注射器作為液體注射器。舉例而言,複數個MEMS注射器可在一個或多個蓮蓬頭處配置成一堆疊,從而提供對複數個液體反應物之液體注射能力。實例性MEMS注射器液滴可包括但不限於尺寸從10微米到30微米之液滴。實例性MEMS注射脈衝寬度可包括但不限於從1ms到500ms之寬度。
在一第三情形中,可使用霍耳效應注射器作為液體注射器。此可提供抗RF干擾之液體注射控制。實例性霍耳效應注射器液滴可包括但不限於尺寸從5微米到50微米之液滴。實例性霍耳效應注射脈衝寬度可包括但不限於從1ms至500ms之寬度。因此,應瞭解,在某些實施例中,在一汽化點處可包括任何合適液體注射器,包括噴射器管、文氏管等等。另一選擇係,在某些實施例中,可省去液體注射器。
注射器上游之液體壓力可設定處於任一合適遞送壓力下以引起對液體之霧化及/或閃蒸。在某些實施例中,上游壓力可由用於對儲液池加壓之推進氣體提供。跨越液體注射器之實例性壓差可包括但不限於大約0.3巴至5巴之壓差。
在某些實施例中,可提供汽化點1303上游之一液體流動控制器以控制供汽化並遞送至製程站1300之液體質量流動。舉例而言,該液體流動控制器(LFC)可包括位於該LFC下游之一熱質量流量計(MFM)。然後可回應於由一與該MFM電連通之比例-積分-微分(PID)控制器所提供之回饋控制信號而調整該LFC之一柱塞閥。然而,使用回饋控制來穩定液體流動可能需要一秒或更長時間。此可延長用於劑量液體反應物之時間。因此,在某些實施例中,可在一回饋控制模式與一直接控制模式之間動態切換該LFC。在某些實施例中,可藉由停用該LFC之一感測管及該PID控制器來將該LFC自一回饋控制模式動態切換至一直接控制模式。
在該直接控制模式中,可獨立於一由該PID控制器提供之控制信號與一所供應控制電壓成比例調整該LFC之柱塞閥換言之,以該直接控制模式來調整該柱塞閥使該LFC用作一用於調節液體流動之受控孔口。在某些實施例中,該LFC可包括用於界定一電壓與一柱塞閥開啟量之間的一比例關係之一個或多個自動校準模式。
在某些實施例中,可在開啟一混合容器進氣閥1320A之前將該柱塞閥開啟至一預定位置。在開啟混合容器液體進氣閥1320A之後,汽化液體反應物可即刻流動至混合容器1304。在一規定時間之後,可設定該LFC之控制電壓以關閉該柱塞閥,從而使經由該LFC之液體流動停止。在某些實施例中,可與關閉該柱塞閥時關閉混合容器進氣閥1320A,此可提供汽化反應物之一近似方波曝露量。
在某些實施例中,可使一混合容器排氣閥1305位於混合容器1304與一分佈蓮蓬頭1306之間以使混合容器1304與蓮蓬頭1306隔離開。圖14展示一混合容器1404及用於供應四個製程站蓮蓬頭(未展示)之四個混合容器排氣閥1405之一實施例之透視圖。圖15展示圖14中所繪示之混合容器之實施例之一部分之一側視圖。雖然圖14及15繪示一經組態以供應製程氣體至四個製程站之混合容器之一實施例,但應瞭解,可使用任意合適數目個混合容器排氣閥自任意合適數目個混合容器供應製程氣體至任意合適數目個製程站。隔離混合容器1304可提供用於在遞送至蓮蓬頭1306之前收集、調節及/或摻和各種氣體之一已知容積界定空間。監測混合容器1304之壓力及/或溫度可提供一根據其計算及/或控制各種製程氣體至蓮蓬頭1306之質量遞送之基礎。在一個非限制性實例中,可根據使用理想氣體方程自一等溫混合容器獲得之壓力量測來計算一反應物蒸氣曝露之一莫耳數,但應瞭解,可在其他情形中使用任一合適狀態方程。舉例而言,在一個具體實施例中,一大約1升混合容器中之一大約400托之壓力可提供相當於40個曝露當量的製程氣體至分佈在一個或多個製程站上之一50升容積。
在某些實施例中,可在一混合容器填充階段期間儲存一反應物之一預定曝露量以供稍後遞送至蓮蓬頭1306。舉例而言,圖16展示一混合容器時序圖1600之一實施例。如圖16之實施例中所繪示,在與一關聯製程站中之一CFD製程之清掃及電漿活化階段同時發生之一填充階段1606期間用反應物氣體蒸氣填充混合容器1604。在填充階段1606期間監測一混合容器壓力。在達到對應於反應物氣體之一預定曝露量之一混合容器壓力設定點之後,關斷反應物氣體流。該反應物氣體隨後儲存於混合容器1604中直至與關聯CFD製程之清掃及電漿活化階段結束同時發生之儲存階段1608結束為止。
混合容器排氣閥1605在曝露階段1610開始時開啟,從而供應反應物氣體至關聯製程站。在曝露階段1610結束時,混合容器排氣閥1605關閉,從而停止反應物至製程站之流動。在一個情形中,混合容器1604可在曝露階段1610期間完全清空反應物氣體。在另一情形中,曝露階段1610可在混合容器1604完全清空之前結束;混合容器1604中之剩餘反應物氣體可經由一製程站旁路管線(未展示)移除或者可儲存於混合容器1604中以供稍後遞送至製程站。
對於某些反應物氣體,可存在與混合容器排氣閥1305與蓮蓬頭1306之間的遞送管長度相關聯之傳播延遲時間。此外,一類似延遲時間可與自蓮蓬頭1306上游之遞送管移除製程氣體相關聯。舉例而言,圖17展示實例性反應物BTBAS及實例性清掃氣體氬之實例性傳播延遲時間。使混合容器排氣閥1305位於更靠近蓮蓬頭1306處可產生比當混合容器排氣閥1305位於遠離蓮蓬頭1306處時所產生更離散之反應物曝露及清掃脈衝。此可減少完成一CFD製程之一反應及/或清掃階段所需之時間。因此,在一個非限制性實例中,混合容器排氣閥1305可直接位於蓮蓬頭1306上游。
蓮蓬頭1306將製程氣體朝基板1312方向分佈。在圖13中所示之實施例中,基板1312位於蓮蓬頭1306下方且展示成倚靠在一基座1308上。應瞭解,蓮蓬頭1306可具有任一合適形狀,且可具有任意合適數目個用於將製程氣體分佈至基板1312之口及口配置。
在某些實施例中,一微容積1307位於蓮蓬頭1306下方。在一製程站之一微容積中而不是整個容積中實施一CFD製程可減少反應物曝露及清掃時間,可減少用於改變CFD製程條件(例如,壓力、溫度等等)之時間,可限制製程站機器人技術至製程氣體之曝露,等等。圖21展示在不同微容積壓力條件下一微容積之一清掃流率與該微容積之一清掃時間之間的相關之實施例。實例性微容積尺寸可包括但不限於介於0.1升至2升之間的容積。
在某些實施例中,可升高或降低基座1308以使基板1312曝露至微容積1307及/或改變微容積1307之一容積。舉例而言,在一基板傳送階段中,可降低基座1308以允許將基板1312載入至基座1308上。在一CFD製程階段期間,可升高基座1308以將基板1312定位於微容積1307內。在某些實施例中,微容積1307可完全包封基板1312以及基座1308之一部分以在一CFD製程期間形成一高流阻抗區域。
視需要,可在CFD製程之部分期間降低及/或升高基座1308以調變微容積1307內之製程壓力、反應物濃度等等。在其中製程室本體1302在CFD製程期間保持在基壓下之一個情形中,降低基座1308可允許抽空微容積1307。微容積對製程室容積之實例性比包括但不限於介於1:500與1:10之間的容積比。應瞭解,在某些實施例中,可藉由一合適電腦控制器程式化地調整基座高度。
在另一情形中,調整基座1308之一高度可允許在包括於CFD製程中之電漿活化及/或處理循環期間改變電漿密度。在CFD製程階段結束時,可在另一基板傳送階段降低基座1308以允許自基座1308移除基板1312。
雖然本文中所述之實例性微容積變化形式係指一高度可調基座,但應瞭解,在某些實施例中,可相對於基座1308調整蓮蓬頭1306之一位置以改變微容積1307之一容積。此外,應瞭解,可藉由任一合適機構來改變基座1308及/或蓮蓬頭1306之一垂直位置,此仍歸屬於本發明之範疇。在某些實施例中,基座1308可包括用於旋轉基板1312之一定向之一旋轉軸。應瞭解,在某些實施例中,可藉由一個或多個合適電腦控制器程式化地實施此等實例性調整中之一者或多者。
在一個實例中,微容積1307在頂部處且順著一直徑由蓮蓬頭1306包封。舉例而言,圖18示意性地展示一製程站之一微容積之一裙部1809之一實施例。如圖18之實施例中所圖解說明,將基座1808升高處於一第一基座位置1808A中,從而將基座1808之一部分及一整個基板支撐表面1810定位於裙部1809之一圓周空間內。亦可將基座1808降低至一第二基座位置1808B以尤其促進由一個或多個基板搬運機器人執行之基板傳送。在某些實施例中,裙部1809可不與基座1808及一基板形成一密封以免在基座移動期間產生小顆粒。
在圖18之實施例中,將裙部1809及蓮蓬頭1806繪示為單獨元件。在一個非限制性實例中,裙部1809可由一非導電材料(例如陶瓷)製成以將蓮蓬頭1806與製程站之其他部分隔離開。然而,應瞭解,在某些實施例中,一裙部及蓮蓬頭可係一單式元件。亦應瞭解,在某些實施例中,可在裙部1809之一側壁內包括一個或多個開口以差動唧送該微容積,且可動態控制此等開口中之一者或多者。
另外或另一選擇係,在某些實施例中,可在一裙部內形成複數個微容積。舉例而言,圖19展示一裙部1909之一實施例,該實施例包括位於基板1912上方之一第一微容積Z-I及環繞基座1908之一部分之一第二微容積Z-II。在某些實施例中,微容積Z-I中之流可係不均勻的。另外或另一選擇係,在某些實施例中,微容積Z-II中之壓力可係不均勻的。微容積Z-I之實例性容積包括但不限於處於一從約0.1 L到2 L之範圍內之容積。微容積Z-II之實例性容積包括但不限於處於一從約10 L到50 L之範圍內之容積。
在某些實施例中,可形成微容積Z-I與Z-II之間的一壓力梯度以使得Z-II中之一壓力超過Z-I中之一壓力。此一壓力梯度可防止製程氣體自微容積Z-I易散性排放,從而節省製程反應物,避免製程站中之顆粒產生製程,等等。在某些實施例中,微容積Z-II可具有一獨立清掃氣體供應。Z-II之清掃氣體之非限制性實例包括氮、氬、氦等等。
雖然圖19之實施例繪示形成於一裙部內之複數個微容積,但應瞭解,在某些實施例中,一第一容積之一部分可由一裙部之一部分與一第二微容積之一部分分離開。舉例而言,圖20展示一蓮蓬頭2002之一實施例之一透視圖。如圖20之實施例中所繪示,第一微容積Z-III部分地由裙部2004與一第二微容積Z-IV周向分離開。微容積Z-IV之清掃氣體經由板2006提供。因此,應瞭解,可採用製程站內之複數個微容積之任一合適配置,此仍歸屬於本發明之範圍。
回到圖13中所示之實施例,蓮蓬頭1306及基座1308與RF電源供應器1314及匹配網路1316電連通以對電漿加電。在某些實施例中,可藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率及電漿功率脈衝計時中之一者或多者來控制電漿能量。舉例而言,可以任一合適功率來操作RF電源供應器1314及匹配網路1316以形成具有自由基物種之所期望組合物。合適功率之實例包括但不限於介於對於300 mm晶圓介於100 W與5000 W之間的功率。同樣地,RF電源供應器1314可提供任一合適頻率之RF功率。在某些實施例中,RF電源供應器1314可經組態以獨立於彼此控制HF及低頻RF電源。實例性低頻RF頻率可包括但不限於介於50 kHz與500 kHz之間的頻率。實例性高頻RF頻率可包括但不限於介於1.8 MHz與2.45 GHz之間的頻率。應瞭解,可離散地或連續地調變任何合適參數以為表面反應提供電漿能量。在一個非限制性實例中,可間歇地用脈衝輸送電漿功率以減輕基板表面相對於連續加電電漿之離子轟擊。
在某些實施例中,可藉由一個或多個電漿監測器來原位監測電漿。在一個情形中,可藉由一個或多個電壓、電流感測器(例如,VI探針)來監測電漿功率。在另一情形中,可藉由一個或多個光學發射光譜感測器(OES)來量測電漿密度及/或製程氣體濃度。在某些實施例中,可基於由此等原位電漿監測提供之量測程式化地調整一個或多個電漿參數。舉例而言,可在一回饋迴路中使用一OES感測器來提供對電漿功率之程式化控制。應瞭解,在某些實施例中,可使用其他監測器來監測電漿及其他製程特性。此等監測器可包括但不限於紅外(IR)監測器、聲學監測器及壓力轉換器。
在某些實施例中,可經由輸入/輸出控制(IOC)定序指令來控制電漿。本文中所述之CFD製程可在CFD循環期間採用對閥、電漿點火、質量流動控制器(MFC)等等之精確計時。在一種使此成為可能之方式中,有時以含有針對時間緊迫命令之指令之離散資訊封包形式將閥及其他命令遞送至數位輸入-輸出控制器(IOC)。此等命令可指揮對一CFD循環之序列之全部或一部分之操作。一IOC轉譯一包化序列中之資訊並將數位或類比命令信號直接傳送至適當硬體組件。此實施方案減少閥、MFC、電漿源等等處之命令執行延遲。
該等IOC可實體位於該設備中之各個點處,例如,位於製程模組內或位於一處於離開製程模組一段距離處之獨立功率架上。每一模組中可存在多個IOC(例如,每模組3個)。對於包括於一序列中之實際指令,用於控制閥、電漿源等等之所有命令皆可包括於一單個IOC序列中。此確保對所有裝置之計時從絕對觀點來看並且相對於彼此受到嚴格控制。另一選擇係,可存在於任一給定時刻運行之多個IOC序列。此允許一CFD循環之不同態樣並行運行。舉例而言,其允許一循環之電漿點火態樣與一循環之前體遞送態樣並行運行。另外,其允許多個操作在一反應器中之各個站處運行。舉例而言,一個序列可針對站1至2運行以使所有計時皆針對在彼等站處沈積氧化物所需之所有硬體組件得到控制,且一第二序列可同時行動以在站3至4處沈積CFD氮化物。IOC架構及實施方案之實例可在美國專利7,477,948(2006年11月6日提出申請)及7,725,205(2006年9月21日提出申請)中找到,該兩個專利以其全文引用方式併入本文中。
在一個實例中,用於設定一電漿製程階段之電漿條件之指令可包括於一CFD製程配方之一對應電漿活化配方階段中。在某些情況下,可依序配置製程配方階段,以便與彼製程階段同時執行針對一CFD製程階段之所有指令。應瞭解,電漿產生之某些態樣可具有可延長一電漿製程階段之適當表徵瞬變及/或穩定時間。換言之,可以預測此等時間延遲。此等時間延遲可包括一撞擊電漿之時間及一使電漿穩定在所指示之功率設定下之時間。舉例而言,圖22圖解說明一電漿點火命令信號2202與針對一電漿之一正向功率回應2206之間的一實例性瞬變延遲2204。因此,在某些實施例中,用於設定一個或多個電漿參數之指令可包括於先於一電漿製程階段之一配方階段中。舉例而言,一第一配方階段可包括用於設定一惰性氣體及/或一反應物氣體之一流率之指令、用於將一電漿產生器設定至一功率設定點之指令及第一配方階段之時間延遲指令。一第二、後續配方階段可包括用於啟用電漿產生器之指令及第二配方階段之時間延遲指令。一第三配方階段可包括用於停用電漿產生器之指令及第三配方階段之時間延遲指令。應瞭解,可以任何合適方式來進一步細分及/或重複此等配方階段,此仍歸屬於本發明之範疇。
在某些實施例中,上述方法可允許一RF產生器控制器在電漿製程階段開始之前穩定在所指示之功率設定下。在某些實施例中,可使活化並穩定一電漿之一時間自大約200 ms減少至大約20 ms。舉例而言,圖23圖解說明根據本發明之一實施例之一實例性電漿點火命令信號2302、一電漿功率設定2304及一電漿之一正向功率回應2306。雖然上文所述之具體實例係關於電漿點火,但應瞭解,在某些實施例中,可以預測一個或多個其他時間延遲,以使得各種控制方案及/或製程配方可經調適以補償時間延遲。實例性時間延遲包括但不限於與穩定一液體或氣體流率相關聯之時間延遲、與穩定一基板及/或製程站溫度相關聯之時間延遲及與穩定一製程室及/或混合容器壓力相關聯之時間延遲。
通常,一IOC實施一序列事件並隨後等待進一步指令。在本文中所述之某些態樣中,該IOC經程式化以便其可重複在一指令序列內迴圈。在一個實例中,該序列指令界定與一CFD製程之一單個循環相關聯之一組操作。此可涉及該CFD循環之一界定階段應用一命令序列以提供一電漿於反應室中。該相關IOC序列事件可涉及一其間不活化電漿之特定延遲週期,然後一達到所施加RF功率之一特定位準之很陡斜升,並隨後使彼位準施加功率保持達一特定時間週期,然後使該功率降回至零或某一其他預定義位準,再次達一界定時間週期。當諸如此類之一序列事件程式化至該IOC中時,則不必針對每一循環重新發送該序列事件。而是,該IOC以某一永久記憶形式維持該序列指令並在一CFD沈積製程期間逐循環重複再執行該序列指令。舉例而言,可在一膜沈積製程期間實施20個CFD循環。該電漿受控序列事件將在該IOC中遞送並安裝一次,該IOC隨後將重複在彼事件序列(在此實例中為20個循環)內迴圈直至沈積該完整CFD膜為止。
根據本揭示內容實施之IOC控制之另一態樣在於能夠在一CFD製程期間重複關斷並接通一特定前體或其他製程氣體之流動。此允許節省該前體或其他寶貴材料,而在採用前體之連續流動及間歇轉向之習用沈積製程中情況並非總是這樣。除節省材料以外,亦可存在停止並開始一反應物之流動之其他益處。舉例而言,經常需要針對一CFD製程在一RF電漿之前自晶圓上方吹掃過剩前體。此外,某些實施例需要使用不應該被允許在該室中相遇之兩個(或更多個)前體。因此,一典型流動可按下述方式進行:(a)使前體A流動;(b)吹掃前體A;(c)使前體B流動;(d)吹掃前體B;及(e)根據需要重複步驟(a)至(b)。
在一個實施例中,該IOC可經程式化以在CFD製程期間以迴圈(逐循環)方式重複關斷並接通一寶貴前體之流動。在「接通」前體自其源之流動時,該序列指令可考慮到與將該前體自其源提供至該反應室之蓮蓬頭或其他入口相關聯之一管線裝料延遲。此意謂對起始或執行前體遞送操作之計時必須在前體實際需要遞送至反應室之前於某一界定時間週期裏進行。因此,與遞送前體相關聯之IOC序列事件可與一與(例如)觸發一不同反應物之吹掃或一電漿之點火相關聯之不同IOC序列事件並行執行。在另一實施例中,若期望一特定反應物至反應器之流動在點燃用來驅動晶圓之表面上之反應物之間的一反應之一電漿之前終止,則經程式化以點燃該電漿之IOC事件可在第二反應物至反應室之流動之預期終止之前開始執行。更特定而言,對點燃該電漿之命令之初始執行可在一早於第二反應之流動之預計終止之時間進行,該時間等於與在接收到電漿點火命令之後電漿功率遞送至反應器相關聯之延遲。
在習用沈積製程中,電漿撞擊持續大約幾秒或更長持續時間。在本文中所述之各種實施方案中,在一CFD循環期間應用更短的電漿撞擊。此等電漿撞擊可為大約10 ms至1秒,通常,約20至80 ms,其中50 ms係一具體實例。此等非常短的RF電漿撞擊需要很快穩定電漿。為了達到此目的,電漿產生器可經組態以使得阻抗匹配設定成預定至一特定電壓,同時允許頻率浮動。通常,高頻電漿係以一處於約13.56 MHz下之RF頻率產生。在本文中所揭示之各種實施例中,允許頻率浮動至一不同於此標準值之值。藉由准許頻率浮動同時將阻抗匹配固定至一預定電壓,電漿可更快地穩定,一在使用與CFD循環相關聯之非常短的電漿撞擊時可能很重要之結果。
CFD循環之事件程式化之另一態樣涉及把每一CFD循環視為二元事件之一錯誤恢復機制。換言之,任一給定循環出現或未出現。一部分完成循環經處理以使得其不出現(或反之亦然)。在一個實例中,若在一循環期間發生一故障,則把該循環視為尚未出現。因此,舉例而言,若一給定CFD膜形成製程經設計以需要20個離散CFD循環,且在該20個循環之第15個循環時出現一故障,但該故障未迅速修復,則不計數部分完成之第15個循環。因此,實施另外五個循環直至膜形成製程完成為止。各種故障可出現且將為熟習此項技術者所理解。作為一個實例,一用於將前體或氧化劑遞送至反應室之質量流動控制器可經設計而以一每秒10 L之遞送速率操作,但在一故障期間其以僅每秒4 L操作。
在某些實施例中,可經由加熱器1310來對基座1308進行溫度控制。此外,在某些實施例中,對CFD製程站1300之壓力控制可由蝶形閥1318提供。如圖13之實施例中所示,碟形閥1318調節由一下游真空幫浦(未展示)提供之一真空。然而,在某些實施例中,對製程站1300之壓力控制亦可藉由改變引入至CFD製程站1300之一個或多個氣體之一流率來加以調整。
如上所述,一個或多個製程站可包括於一多站處理工具中,圖24展示具有一入站真空鎖2402及一出站真空鎖2404之多站處理工具2400之一實施例之一示意圖,該入站真空鎖及該出站真空鎖中之任一者或兩者可包括一遠端電漿源。一處於大氣壓力下之機器人2406經組態以經由一大氣口2410將來自經由一外殼2408載入之一卡匣之晶圓移動至入站真空鎖2402中。一晶圓由機器人2406放置於入站真空鎖2402中之一基座2412上,關閉大氣口2410,並向下唧送該真空鎖。當入站真空鎖2402包含一遠端電漿源時,該晶圓可在引入至一處理室2414中之前曝露至該真空鎖中之一遠端電漿處理。此外,該晶圓亦可在入站真空鎖2402中加熱,以例如移除水分及吸附氣體。接下來,通至處理室2414之一室輸送口2416開啟,且另一機器人(未顯示)將該晶圓放入反應器中所示之一第一站之一基座上之反應器中供處理。雖然圖24中所繪示之實施例包括真空鎖,但應瞭解,在某些實施例中,可提供晶圓至處理站之直接進入。
所繪示處理室2414包含圖24中所示之實施例中編號為1至4之四個製程站。每一站皆具有一加熱基座(顯示於站1之2418處)及若干個氣體管線入口。應瞭解,在某些實施例中,每一製程站皆可具有不同或多個目的。舉例而言,在某些實施例中,一製程站可在CFD製程模式與PECVD製程模式之間切換。另外或另一選擇係,在某些實施例中,處理室2414可包括一個或多個CFD製程站與PECVD製程站匹配對。雖然所繪示處理室2414包含四個站,但應瞭解,根據本發明之一處理室可具有任意合適數目個站。舉例而言,在某些實施例中,一處理室可具有一個或更多個站,而在其他實施例中一處理室可具有三個或更少個站。
圖24亦繪示一用於在處理室2414內傳送晶圓之晶圓搬運系統2490之一實施例。在某些實施例中,晶圓搬運系統2490可在各個製程站之間及/或在一製程站與一真空鎖之間傳輸晶圓。應瞭解,可採用任一合適晶圓搬運系統。非限制性實例包括晶圓傳送帶及晶圓搬運機器人。圖24亦繪示一用於控制製程條件及製程工具2400之硬體狀態之系統控制器2450之一實施例。系統控制器2450可包括一個或多個記憶體裝置2456、一個或多個大容量儲存(mass storage)裝置2454及一個或多個處理器2452。處理器2452可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等等。
在某些實施例中,系統控制器2450控制製程工具2400之所有活動。系統控制器2450執行儲存於大容量儲存裝置2454中、載入至記憶體裝置2456中且在處理器2452上執行之系統控制軟體2458。系統控制軟體2458可包括用於控制一由處理工具2400實施之特定製程之計時、氣體混合、室及/或站壓力、室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、基板基座、夾頭及/或晶座位置以及其他參數之指令。系統控制軟體2458可以任一合適方式組態。舉例而言,各種製程工具組件次程式或控制對象程式可經寫入以控制對執行各種製程工具製程必要之製程工具組件之操作。系統控制軟體2458以任一合適電腦可讀程式化語言編碼。
在某些實施例中,系統控制軟體2458可包括用於控制上文所述之各種參數之輸入/輸出控制(IOC)定序指令。舉例而言,一CFD製程之每一階段皆可包括供系統控制器2450執行之一個或多個指令。用於設定一CFD製程階段之製程條件之指令可包括於一對應CFD配方階段中。在某些實施例中,CFD配方階段可依序配置,以便一CFD製程階段之所有指令皆與彼製程階段同時執行。
在某些實施例中,可採用儲存於與系統控制器2450相關聯之大容量儲存裝置2454及/或記憶體裝置2456上之其他電腦軟體及/或程式。用於此目的之程式之實例或程式之區段包括一基板定位程式、一製程氣體控制程式、一壓力控制程式、一加熱器控制程式及一電漿控制程式。
一基板定位程式可包括用於將基板載入至基座2418上並控制基板與製程工具2400之其他部分之間的間隔之製程工具組件之程式碼。
一製程氣體控制程式可包括用於控制氣體組合物及流率且視需要用於使氣體在沈積之前流動至一個或多個製程站中以穩定製程站中之壓力之程式碼。一壓力控制程式可包括用於藉由調節(例如)製程站之排氣系統中一節流閥、一進入製程站之氣體流等等來控制製程站中之壓力之程式碼。
一加熱器控制程式可包括用於控制通至一用於對基板加熱之加熱單元之電流之程式碼。另一選擇係,該加熱器控制程式可控制一傳熱氣體(例如氦)至基板之遞送。
一電漿控制程式可包括用於設定施加至一個或多個製程站中之製程電極之RF功率位準之程式碼。
在某些實施例中,可存在與系統控制器2450相關聯之一使用者介面。該使用者介面可包括一顯示螢屏、設備及/或製程條件之圖形軟體顯示器、及諸如指標裝置、鍵盤、觸控螢屏、麥克風等等之使用者輸入裝置。
在某些實施例中,由系統控制器2450調整之參數可與製程條件有關。非限制性實例包括製程氣體組合物及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)、壓力、溫度等等。此等參數可以可利用使用者介面輸入之配方形式提供給使用者。
用於監測該製程之信號可自各種製程工具感測器藉由系統控制器2450之類比及/或數位輸入連接提供。用於控制 該製程之信號可在製程工具2400之類比及數位輸出連接上輸出。可受到監測之製程工具感測器之非限制性實例包括質量流動控制器、壓力感測器(例如壓力計)、熱電偶等等。適當程式化之回饋及控制演算法與來自此等感測器之資料一起用來維持製程條件。
系統控制器2450可提供用於實施上述沈積製程之程式指令。該等程式指令可控制各種各樣的製程參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度等等。該等指令可控制該等參數以操作對根據本文中所述之各種實施例之膜堆疊之原位沈積。
上文中所述之設備/製程可與微影圖案化工具或製程結合使用,以例如製作或製造記憶體裝置、顯示器、LED、光伏板及諸如此類。通常(儘管未必盡然),此等工具/製程將在一常見製作設施中同時使用或進行。對膜之微影圖案化通常包括如下操作中之部分或全部,每一操作皆藉助許多可能之工具來實現:(1)使用一旋塗或噴塗工具在一工件(即,基板)上施加光阻劑;(2)使用一熱板或加熱爐或UV固化工具來固化光阻劑;(3)藉助一工具(例如一晶圓步進機)來使該光阻劑曝露至可見或UV或x射線光;(4)使該抗蝕劑顯影以使用一工具(例如一濕式蝕刻清洗台)來選擇性地移除該抗蝕劑並由此對其進行圖案化;(5)藉由使用一乾式或電漿輔助蝕刻工具來將該抗蝕劑圖案轉印至一下伏膜或工件中;及(6)使用一工具(例如一RF或微波電漿抗蝕劑剝除液)來移除該抗蝕劑。
應瞭解,本文中所述之組態及/或方法實質上係例示性的,且此等具體實施例或實例不應視為具有限定意義,因為亦可具有眾多變化形式。本文中所述具體常式或方法可表示任意數目個處理策略中之一者或多者。同樣地,所圖解說明之各種動作可按所圖解說明之順序、按其他順序、並行地或在某些被省略之情況下實施。同樣地,可改變上述製程之次序。
本發明之標的物包括本文中所揭示之各種製程、系統及組態、以及其他特徵、功能、動作及/或性質之所有新穎及非顯著性組合及子組合。
702...保形膜沈積二氧化矽膜
704...保形膜沈積二氧化矽膜
800...非平面基板
802...間隙
802A...間隙
802B...間隙
802C...間隙
804...保形膜
1000...高縱橫比結構
1002‧‧‧基板
1006‧‧‧較厚膜
1008‧‧‧鎖孔空隙
1200‧‧‧非平面基板
1202‧‧‧間隙
1204‧‧‧薄膜
1204A‧‧‧上部區域
1204B‧‧‧下部區域
1206‧‧‧凹入部分
1300‧‧‧保形膜沈積製程站
1301‧‧‧反應物遞送系統
1302‧‧‧製程室本體
1303‧‧‧汽化點
1304‧‧‧混合容器
1305‧‧‧排氣閥
1306‧‧‧蓮蓬頭
1307‧‧‧微容積
1308‧‧‧基座
1310‧‧‧加熱器
1312‧‧‧基板
1314‧‧‧RF電源供應器
1316‧‧‧匹配網路
1318‧‧‧蝶形閥
1320‧‧‧混合容器進氣閥
1320A...混合容器進氣閥
1404...混合容器
1405...混合容器排氣閥
1604...混合容器
1605...混合容器排氣閥
1806...蓮蓬頭
1808...基座
1808A...第一基座位置
1808B...第二基座位置
1809...裙部
1810...基板支撐表面
1908...基座
1909...裙部
1912...基板
2002...蓮蓬頭
2004...裙部
2006...板
2400...多站處理工具
2402...入站真空鎖
2404...出站真空鎖
2406...機器人
2408...外殼
2410...大氣口
2412...基座
2414‧‧‧處理室
2416‧‧‧室輸送口
2418‧‧‧基座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大容量儲存裝置
2456‧‧‧記憶體裝置
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
2502‧‧‧介電隔離層
2602‧‧‧非平面基板
2604‧‧‧保形膜
2606‧‧‧第一過渡膜
2608‧‧‧第二過渡膜
2806‧‧‧掃描電子顯微鏡(SEM)影像
2808‧‧‧掃描電子顯微鏡(SEM)影像
圖1示意性地展示根據本發明之一實施例之一實例性保形膜沈積(CFD)製程之一時序圖;
圖2示意性地展示根據本發明之一實施例之另一實例性CFD製程之一時序圖;
圖3示意性地展示根據本發明之一實施例之另一實例性CFD製程之一時序圖;
圖4示意性地展示根據本發明之一實施例包括一電漿處理循環之一實例性CFD製程之一時序圖;
圖5展示根據本發明之一實施例沈積之膜之一濕式蝕刻速率比與一沈積溫度之間的一實例性相關;
圖6展示根據本發明之一實施例沈積之膜之一濕式蝕刻速率比與一膜應力之間的一實例性相關;
圖7展示根據本發明之一實施例沈積之膜之膜污染物濃度與沈積溫度之間的一實例性相關;圖8示意性地展示包含複數個間隙之一非平面基板之一實例性截面;圖9示意性地展示根據本發明之一實施例包括一至一PECVD製程之過渡之一實例性CFD製程之一時序圖;圖10示意性地展示包括一鎖孔空隙之一間隙填充之一實例性截面;圖11示意性地展示根據本發明之一實施例包括一原位蝕刻之一實例性CFD製程之一時序圖;圖12A示意性地展示一凹入間隙填充剖面之一實例性截面;圖12B示意性地展示根據本發明之一實施例在一原位蝕刻製程期間圖12A之凹入間隙填充剖面之一實例性截面;圖12C示意性地展示根據本發明之一實施例在一原位蝕刻之後在一沈積製程期間圖12B之間隙填充剖面之一實例性截面;圖13示意性地展示根據本發明之一實施例之一實例性製程站;圖14展示根據本發明之一實施例包括一混合容器及複數個混合容器排氣閥之實例性反應物分佈系統之一透視圖;圖15展示圖14中所繪示之反應物分佈系統之一側視圖;圖16示意性展示根據本發明之一實施例之一實例性反應物分佈系統之一實例性時序圖;圖17示意性地展示一反應物遞送系統之實例性傳播與清掃時間延遲;
圖18示意性地展示根據本發明之一實施例之一製程站之一實例性裙部圍罩;
圖19示意性地展示根據本發明之一實施例包括一製程站之複數個微容積之一實例性蓮蓬頭;
圖20示意性地展示根據本發明之一實施例包括於一製程站中之複數個微容積之另一實例;
圖21示意性地展示根據本發明之一實施例處於各種壓力下之一雙區蓮蓬頭之一清掃時間與一清掃流率之間的實例性相關;
圖22示意性地展示根據本發明之一實施例電漿起始之信號強度與時間之間的一實例性相關;
圖23示意性地展示根據本發明之一實施例電漿起始控制之信號強度與時間之間的另一實例性相關;
圖24示意性地展示根據本發明之一實施例包括複數個製程站及一控制器之一實例性製程工具;
圖25示意性地展示根據本發明之一實施例在包括一原位蝕刻之一CFD製程期間一穿矽通孔之一實例性截面;
圖26示意性地展示包含根據本發明之一實施例沈積之一間隙填充之一非平面基板之一實例性截面;
圖27示意性地展示根據本發明之一實施例包括一至一PECVD製程之過渡之一實例性CFD製程之另一時序圖;
圖28展示一繪示一實例性沈積速率相關之曲線圖且亦展示根據本發明之一實施例藉由包括至PECVD製程之原位過渡之兩個實例性CFD製程來處理之非平面基板之實例性掃描電子顯微鏡(SEM)影像;
圖29示意性地展示根據本發明之一實施例之另一實例性CFD製程之一時序圖,該另一實例性CFD製程包括同時PECVD及CFD沈積製程且包括具有停止供應反應物B至製程站與電漿活化之間的一正持續時間之一清掃階段;
圖30示意性地展示根據本發明之一實施例之另一實例性CFD製程之一時序圖,該另一實例性CFD製程包括同時PECVD及CFD沈積製程且包括停止供應反應物B與電漿活化之間的一清掃階段;及
圖31示意性地展示根據本發明之一實施例之另一實例性CFD製程之一時序圖,該另一實例性CFD製程包括同時PECVD及CFD沈積製程且包括供應反應物B至製程站與電漿活化之間的一重疊。
(無元件符號說明)

Claims (23)

  1. 一種在一基板表面上沈積一膜之方法,該方法包含:(a)將一基板提供於一反應室中;(b)在允許處於氣相中的一第一反應物吸附至該基板表面上之條件下將該第一反應物引入至該反應室中;(c)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中,其中該第二反應物係在不首先將該第一反應物清掃出該反應室之情況下引入;(d)使該基板表面曝露至電漿以驅動該基板表面上之該第一反應物與第二反應物之間的一表面反應從而形成該膜;及(e)將該處於氣相中之第一反應物及該處於氣相中之第二反應物同時引入至該反應室中以藉由一化學氣相沈積非表面氣相反應而直接在形成於(d)之該膜上沉積一額外膜,其中在操作(d)與操作(e)之間存在一過渡階段(transition phase),在該過渡階段其間於該第一反應物與第二反應物間之該表面反應與該化學氣相沈積非表面氣相反應係同時進行。
  2. 如請求項1之方法,其中在該等反應物中之至少一者係處於一氣相中同時被曝露至該基板時點燃一電漿。
  3. 如請求項1之方法,其中在(c)期間該第二反應物以一非恆定流率流動至該基板表面。
  4. 如請求項1之方法,其進一步包含在(d)之前清掃出處於 氣相中的該第二反應物之一清掃階段。
  5. 如請求項4之方法,其進一步包含在該清掃階段之後但在(d)之前再次使該基板表面曝露至該第二反應物。
  6. 如請求項1之方法,其中該第一反應物連續地流動至該基板且該第二反應物間歇地流動至該基板。
  7. 如請求項1之方法,其進一步包含在用以形成該膜的該第一反應物與第二反應物之間的該反應之後,電漿處理該膜以修改該膜之至少一個性質。
  8. 如請求項1之方法,其中在(d)中所形成之該膜形成選自由淺溝槽隔離物、一穿矽通孔襯墊、一層間電介質、一閘極間隔物及一金屬間電介質組成之群組之一保形結構。
  9. 如請求項1之方法,其中形成於(d)之該膜與形成於(e)之該額外膜具有相同組成。
  10. 如請求項1之方法,其進一步包含在該過渡階段其間使該基板曝露至間歇電漿脈衝。
  11. 如請求項1之方法,其中該第一反應物包含第三丁基胺(tertbutylamine)且其中該第二反應物包含氣矽烷(chlorosilane)或二氣甲矽烷(dichlorosilane)。
  12. 如請求項1之方法,其進一步包含使該膜曝露至紫外線輻射。
  13. 如請求項1之方法,其進一步包含:(f)在一凹入特徵(recessed feature)之一入口處蝕刻該膜;及 (g)在該經蝕刻膜上沈積該膜之一額外部分。
  14. 如請求項1之方法,其進一步包含:(f)重複(b)至(d)至少一次;(g)在允許未在(b)至(f)期間引入之一第三反應物吸附至該基板表面上之條件下將該第三反應物引入至該反應室中;(h)使該第三反應物反應以產生該膜之一摻雜劑;及(i)重複(b)至(d)。
  15. 如請求項1之方法,其中該第一反應物不含有一金屬或半導體元素。
  16. 如請求項1之方法,其中該第一反應物連續地但以一非恆定速率流動。
  17. 一種用於在一基板上沈積膜之設備,該設備包含:一反應室;一進氣口,其用於將氣相反應物遞送至該反應室;一電漿產生器,其用於將電漿提供至該反應室;及一控制器,其包含用於以下操作之指令(a)在允許處於氣相中的一第一反應物吸附至固持於該反應室中之一基板表面上之條件下將該第一反應物引入至該反應室中;(b)在該第一反應物吸附在該基板表面上時將處於氣相中的一第二反應物引入至該反應室中,其中該第二反應物係在不首先將該第一反應物清掃出該反應室之情況下引入; (c)使該基板表面曝露至電漿以驅動該基板表面上之該第一反應物與第二反應物之間的一反應從而形成一膜;及(d)將該處於氣相中之第一反應物及該處於氣相中之第二反應物同時引入至該反應室中以藉由一化學氣相沈積非表面氣相反應而直接在形成於(c)之該膜上沉積一額外膜,其中在操作(c)與操作(d)之間存在一過渡階段,在該過渡階段其間於該第一反應物與第二反應物間之該表面反應與該化學氣相沈積非表面氣相反應係同時進行。
  18. 如請求項17之設備,其中該控制器進一步包含用於以下操作之指令(e)蝕刻該膜;及(f)在該經蝕刻膜上沈積額外膜。
  19. 如請求項17之設備,其中該控制器進一步包含用於使用一光學發射光譜感測器或一電流電壓探針來監測由操作(c)提供之該電漿之指令。
  20. 如請求項17之設備,其中該反應室包含各自具有其自身的基板托架之複數個站。
  21. 如請求項17之設備,其中該等用於提供一電漿於該反應室中之指令包含用於允許該電漿產生器之頻率浮動之指令。
  22. 如請求項17之設備,其中該控制器進一步包含用於將該第一反應物連續地引入至該反應室中及將該第二反應物 間歇地引入至該反應室中之指令。
  23. 一種包含如請求項17之設備及一步進機之系統。
TW100113041A 2010-04-15 2011-04-14 電漿活化保形膜沈積 TWI567225B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US32471010P 2010-04-15 2010-04-15
US37236710P 2010-08-10 2010-08-10
US37908110P 2010-09-01 2010-09-01
US41780710P 2010-11-29 2010-11-29
US13/084,399 US8728956B2 (en) 2010-04-15 2011-04-11 Plasma activated conformal film deposition

Publications (2)

Publication Number Publication Date
TW201144475A TW201144475A (en) 2011-12-16
TWI567225B true TWI567225B (zh) 2017-01-21

Family

ID=44788514

Family Applications (2)

Application Number Title Priority Date Filing Date
TW100113041A TWI567225B (zh) 2010-04-15 2011-04-14 電漿活化保形膜沈積
TW100113037A TW201207148A (en) 2010-04-15 2011-04-14 Improved silicon nitride films and methods

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW100113037A TW201207148A (en) 2010-04-15 2011-04-14 Improved silicon nitride films and methods

Country Status (5)

Country Link
US (3) US20110256734A1 (zh)
KR (3) KR101762978B1 (zh)
SG (3) SG184567A1 (zh)
TW (2) TWI567225B (zh)
WO (2) WO2011130326A2 (zh)

Families Citing this family (560)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8528224B2 (en) 2009-11-12 2013-09-10 Novellus Systems, Inc. Systems and methods for at least partially converting films to silicon oxide and/or improving film quality using ultraviolet curing in steam and densification of films using UV curing in ammonia
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
KR101378478B1 (ko) * 2011-03-23 2014-03-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
US20130115773A1 (en) * 2011-11-04 2013-05-09 Globalfoundries Inc. Prevention of ILD Loss in Replacement Gate Technologies by Surface Treatmen
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6239079B2 (ja) * 2011-12-09 2017-11-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8912101B2 (en) * 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR101881894B1 (ko) 2012-04-06 2018-07-26 삼성디스플레이 주식회사 박막 증착 장치 및 그것을 이용한 박막 증착 방법
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8956704B2 (en) * 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
EP2679537A1 (en) * 2012-06-27 2014-01-01 Imec Method for producing metal lines on top of a non-flat mems topography
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
JP6257071B2 (ja) * 2012-09-12 2018-01-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN105143503A (zh) * 2012-12-21 2015-12-09 普拉萨德·纳哈·加吉尔 陶瓷薄膜低温沉积方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770373A1 (en) 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
US9328422B2 (en) 2013-03-06 2016-05-03 Corning Incorporated Crystallization and bleaching of diamond-like carbon and silicon oxynitride thin films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9429247B2 (en) * 2013-03-13 2016-08-30 Applied Materials, Inc. Acoustically-monitored semiconductor substrate processing systems and methods
US9257293B2 (en) * 2013-03-14 2016-02-09 Applied Materials, Inc. Methods of forming silicon nitride spacers
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) * 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN104282614B (zh) * 2013-07-01 2017-09-01 中芯国际集成电路制造(上海)有限公司 一种形成浅沟槽隔离结构的方法
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US9798317B2 (en) * 2013-07-03 2017-10-24 Tokyo Electron Limited Substrate processing method and control apparatus
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10179947B2 (en) * 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015084523A1 (en) * 2013-12-05 2015-06-11 Tokyo Electron Limited Direct current superposition freeze
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9218963B2 (en) * 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9328416B2 (en) 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2017510453A (ja) 2014-03-06 2017-04-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ・フォアライン・サーマル・リアクタ・システム
KR102357926B1 (ko) * 2014-03-07 2022-02-04 주성엔지니어링(주) 박막 제조 방법
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9685325B2 (en) 2014-07-19 2017-06-20 Applied Materials, Inc. Carbon and/or nitrogen incorporation in silicon based films using silicon precursors with organic co-reactants by PE-ALD
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10096464B2 (en) * 2014-10-04 2018-10-09 Applied Materials, Inc. Atomic layer deposition of high density silicon dioxide
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9502263B2 (en) * 2014-12-15 2016-11-22 Applied Materials, Inc. UV assisted CVD AlN film for BEOL etch stop application
US10100407B2 (en) 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9640385B2 (en) * 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11001599B2 (en) 2015-03-23 2021-05-11 Gelest Technologies, Inc. N-alkyl substituted cyclic and oligomeric perhydridosilazanes, methods of preparation thereof, and silicon nitride films formed therefrom
US9828672B2 (en) * 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9502238B2 (en) * 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023956B2 (en) 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
WO2016178991A1 (en) 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9815858B2 (en) 2015-06-16 2017-11-14 Gelest Technologies, Inc. Hydridosilapyrroles, hydridosilaazapyrroles, thiasilacyclopentanes, method for preparation thereof, and reaction products therefrom
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9385318B1 (en) 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
KR20170019668A (ko) * 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9508547B1 (en) 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10550469B2 (en) * 2015-09-04 2020-02-04 Lam Research Corporation Plasma excitation for spatial atomic layer deposition (ALD) reactors
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9837504B2 (en) * 2015-10-28 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modifying capping layer in semiconductor structure
US9620356B1 (en) * 2015-10-29 2017-04-11 Applied Materials, Inc. Process of selective epitaxial growth for void free gap fill
JP6509095B2 (ja) * 2015-11-04 2019-05-08 東京エレクトロン株式会社 窒化膜の形成方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388515B2 (en) * 2015-11-16 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treatment to control deposition rate
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9997351B2 (en) * 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN106920744B (zh) * 2015-12-25 2019-12-13 北京大学 一种室温环境中激励硅中非金属原子扩散的方法
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9768070B1 (en) * 2016-05-20 2017-09-19 Samsung Electronics Co., Ltd. Method for manufacturing semiconductor device
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
CN116978778A (zh) * 2016-06-28 2023-10-31 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6728087B2 (ja) * 2017-02-22 2020-07-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US9911595B1 (en) * 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
FR3064283B1 (fr) * 2017-03-22 2022-04-29 Kobus Sas Procede et dispositif reacteur pour la realisation de couches minces mettant en œuvre une succession d'etapes de depots, et applications de ce procede
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
JP7101191B2 (ja) * 2017-04-07 2022-07-14 アプライド マテリアルズ インコーポレイテッド アモルファスシリコン間隙充填を改善するための表面改質
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US9984869B1 (en) * 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
DE102017206612A1 (de) * 2017-04-19 2018-10-25 Centrotherm Photovoltaics Ag Verfahren und Vorrichtung zum Ausbilden einer Schicht auf einem Halbleitersubstrat sowie Halbleitersubstrat
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10752989B2 (en) 2017-07-26 2020-08-25 Moxtek, Inc. Methods of applying silane coatings
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
JP6873007B2 (ja) * 2017-08-09 2021-05-19 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
JP6913569B2 (ja) 2017-08-25 2021-08-04 東京エレクトロン株式会社 被処理体を処理する方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202001191VA (en) * 2017-09-03 2020-03-30 Applied Materials Inc Conformal halogen doping in 3d structures using conformal dopant film deposition
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10510852B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k feature formation processes and structures formed thereby
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10541309B2 (en) 2017-12-25 2020-01-21 United Microelectronics Corp Semiconductor structure and method for fabricating the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11087961B2 (en) 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10651083B2 (en) * 2018-03-05 2020-05-12 International Business Machines Corporation Graded interconnect cap
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
CN108277476B (zh) * 2018-03-14 2024-01-16 深圳市志橙半导体材料有限公司 一种利用热cvd法的碳化硅沉积处理设备
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7085929B2 (ja) 2018-07-13 2022-06-17 東京エレクトロン株式会社 成膜方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7003011B2 (ja) * 2018-07-27 2022-01-20 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
TW202025284A (zh) 2018-09-10 2020-07-01 美商蘭姆研究公司 用於高深寬比圖案化及垂直縮放的膜堆疊簡化
CN110896116B (zh) * 2018-09-10 2023-01-17 浙江清华柔性电子技术研究院 晶体硅太阳能电池扩散层及其制备方法、电池、组件
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020068770A1 (en) * 2018-09-24 2020-04-02 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US10896821B2 (en) 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
US10903070B2 (en) 2018-09-28 2021-01-26 Lam Research Corporation Asymmetric wafer bow compensation by chemical vapor deposition
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102617145B1 (ko) 2018-10-02 2023-12-27 삼성전자주식회사 가변 저항 메모리 장치
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US20210398796A1 (en) * 2018-10-03 2021-12-23 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US10971357B2 (en) 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
JP7487189B2 (ja) * 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP6708798B1 (ja) * 2018-11-14 2020-06-10 株式会社日立ハイテク プラズマ処理装置及びそれを用いた被処理試料の処理方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7090568B2 (ja) 2019-01-30 2022-06-24 東京エレクトロン株式会社 成膜方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20210130247A (ko) * 2019-03-20 2021-10-29 어플라이드 머티어리얼스, 인코포레이티드 저온의 열 산화물 품질에서 두꺼운 산화물 막들을 성장시키는 방법
KR20210132731A (ko) 2019-03-25 2021-11-04 램 리써치 코포레이션 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
WO2020236303A1 (en) * 2019-05-23 2020-11-26 Applied Materials, Inc. In-situ atomic layer deposition process
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN114245832A (zh) * 2019-06-07 2022-03-25 朗姆研究公司 原子层沉积期间的膜特性的原位控制
TW202108813A (zh) * 2019-06-08 2021-03-01 美商應用材料股份有限公司 可流動pecvd的低沉積速率
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2021011950A1 (en) 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR102697639B1 (ko) 2019-08-16 2024-08-22 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7259649B2 (ja) * 2019-08-30 2023-04-18 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114467164A (zh) * 2019-09-12 2022-05-10 应用材料公司 排斥网和沉积方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
US11482413B2 (en) 2019-10-08 2022-10-25 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11532475B2 (en) * 2019-12-24 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition process for forming semiconductor device and system
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102317442B1 (ko) * 2020-01-20 2021-10-26 주성엔지니어링(주) 기판처리방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11817313B2 (en) 2020-02-05 2023-11-14 Applied Materials, Inc. Methods for pressure ramped plasma purge
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TWI823050B (zh) * 2020-02-19 2023-11-21 美商應用材料股份有限公司 無氫二氧化矽
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US12065737B2 (en) 2020-05-27 2024-08-20 Gelest, Inc. Silicon-based thin films from N-alkyl substituted perhydridocyclotrisilazanes
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR102437091B1 (ko) * 2020-08-14 2022-08-26 한국기계연구원 플라즈마 화학기상증착 공정의 실시간 제어 방법 및 플라즈마 화학기상증착용 반응 챔버
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh) * 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
WO2022125820A1 (en) * 2020-12-10 2022-06-16 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007118026A2 (en) * 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US20070259110A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
US20080317972A1 (en) * 2007-06-21 2008-12-25 Asm International N.V. Method for depositing thin films by mixed pulsed cvd and ald
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体

Family Cites Families (208)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) * 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
KR100721503B1 (ko) * 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) * 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) * 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
WO2004094695A2 (en) 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7482247B1 (en) * 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7109129B1 (en) * 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) * 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
WO2007064376A2 (en) 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8366953B2 (en) 2006-09-19 2013-02-05 Tokyo Electron Limited Plasma cleaning method and plasma CVD method
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
WO2008100963A1 (en) 2007-02-12 2008-08-21 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) * 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8373254B2 (en) * 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
KR101758944B1 (ko) 2009-12-09 2017-07-18 노벨러스 시스템즈, 인코포레이티드 신규한 갭 충진 집적화
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8501629B2 (en) 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US20120108079A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic Layer Deposition Film With Tunable Refractive Index And Absorption Coefficient And Methods Of Making
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
KR102084901B1 (ko) 2011-09-23 2020-03-05 노벨러스 시스템즈, 인코포레이티드 플라즈마 활성화된 컨포멀 유전체 막 증착
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007118026A2 (en) * 2006-03-31 2007-10-18 Applied Materials, Inc. Step coverage and pattern loading for dielectric films
US20070259110A1 (en) * 2006-05-05 2007-11-08 Applied Materials, Inc. Plasma, uv and ion/neutral assisted ald or cvd in a batch tool
US20080317972A1 (en) * 2007-06-21 2008-12-25 Asm International N.V. Method for depositing thin films by mixed pulsed cvd and ald
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP2010010497A (ja) * 2008-06-29 2010-01-14 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体

Also Published As

Publication number Publication date
KR101826490B1 (ko) 2018-03-22
WO2011130326A2 (en) 2011-10-20
US20110256734A1 (en) 2011-10-20
KR20130057409A (ko) 2013-05-31
KR20130062256A (ko) 2013-06-12
SG184567A1 (en) 2012-11-29
SG184566A1 (en) 2012-11-29
WO2011130397A3 (en) 2012-04-19
KR101762978B1 (ko) 2017-07-28
KR20170089040A (ko) 2017-08-02
WO2011130326A3 (en) 2011-12-15
US20110256726A1 (en) 2011-10-20
US9230800B2 (en) 2016-01-05
SG10201502936RA (en) 2015-06-29
WO2011130397A2 (en) 2011-10-20
TW201207148A (en) 2012-02-16
US20140209562A1 (en) 2014-07-31
US8728956B2 (en) 2014-05-20
TW201144475A (en) 2011-12-16

Similar Documents

Publication Publication Date Title
TWI567225B (zh) 電漿活化保形膜沈積
KR102145694B1 (ko) 플라즈마 활성화된 등각 막 성막을 위한 전구체들
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
TWI602245B (zh) 電漿活化之保形介電薄膜沉積
TWI612581B (zh) 在基板表面上沉積氮及/或碳摻雜介電薄膜堆疊之方法、設備及系統
KR102183336B1 (ko) 민감성 기판 상에 막을 증착하는 방법
US9355886B2 (en) Conformal film deposition for gapfill
US20140030444A1 (en) High pressure, high power plasma activated conformal film deposition
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition