JP2022089928A - SiNの堆積 - Google Patents
SiNの堆積 Download PDFInfo
- Publication number
- JP2022089928A JP2022089928A JP2022068713A JP2022068713A JP2022089928A JP 2022089928 A JP2022089928 A JP 2022089928A JP 2022068713 A JP2022068713 A JP 2022068713A JP 2022068713 A JP2022068713 A JP 2022068713A JP 2022089928 A JP2022089928 A JP 2022089928A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- substrate
- nitrogen
- silicon
- treatment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 230000008021 deposition Effects 0.000 title description 87
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 669
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 303
- 239000012686 silicon precursor Substances 0.000 claims abstract description 155
- 238000009832 plasma treatment Methods 0.000 claims abstract description 142
- 238000000151 deposition Methods 0.000 claims abstract description 123
- 238000000034 method Methods 0.000 claims abstract description 105
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 84
- 229910052740 iodine Inorganic materials 0.000 claims abstract description 67
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims abstract description 31
- 239000011630 iodine Substances 0.000 claims abstract description 27
- 210000002381 plasma Anatomy 0.000 claims description 503
- 239000000758 substrate Substances 0.000 claims description 288
- 239000010408 film Substances 0.000 claims description 243
- 238000006243 chemical reaction Methods 0.000 claims description 164
- 238000011282 treatment Methods 0.000 claims description 156
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 148
- 239000000376 reactant Substances 0.000 claims description 145
- 229910052710 silicon Inorganic materials 0.000 claims description 117
- 239000010703 silicon Substances 0.000 claims description 114
- 229910052739 hydrogen Inorganic materials 0.000 claims description 109
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 102
- 239000001257 hydrogen Substances 0.000 claims description 96
- 239000010409 thin film Substances 0.000 claims description 74
- 239000007789 gas Substances 0.000 claims description 70
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 64
- 150000002431 hydrogen Chemical class 0.000 claims description 52
- 238000005530 etching Methods 0.000 claims description 51
- 238000001039 wet etching Methods 0.000 claims description 49
- 230000008569 process Effects 0.000 claims description 39
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 29
- -1 silicon halide Chemical class 0.000 claims description 25
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims description 7
- YZCKVEUIGOORGS-IGMARMGPSA-N Protium Chemical compound [1H] YZCKVEUIGOORGS-IGMARMGPSA-N 0.000 claims description 5
- 239000007864 aqueous solution Substances 0.000 claims description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 4
- 150000003376 silicon Chemical class 0.000 claims description 4
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 4
- 201000011452 Adrenoleukodystrophy Diseases 0.000 claims 1
- 208000010796 X-linked adrenoleukodystrophy Diseases 0.000 claims 1
- 229910052581 Si3N4 Inorganic materials 0.000 abstract description 234
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 abstract description 234
- 239000002243 precursor Substances 0.000 abstract description 174
- 239000003446 ligand Substances 0.000 abstract description 35
- 241000894007 species Species 0.000 description 107
- 238000010926 purge Methods 0.000 description 83
- 239000012528 membrane Substances 0.000 description 66
- 125000000217 alkyl group Chemical group 0.000 description 36
- 229910052794 bromium Inorganic materials 0.000 description 34
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 33
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 33
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 33
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 33
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 31
- 150000003254 radicals Chemical class 0.000 description 31
- 230000015572 biosynthetic process Effects 0.000 description 30
- 239000006227 byproduct Substances 0.000 description 27
- 125000005103 alkyl silyl group Chemical group 0.000 description 23
- 229910052736 halogen Inorganic materials 0.000 description 23
- 150000002367 halogens Chemical class 0.000 description 23
- 235000013675 iodine Nutrition 0.000 description 23
- 125000000547 substituted alkyl group Chemical group 0.000 description 23
- 229910052786 argon Inorganic materials 0.000 description 22
- 150000001875 compounds Chemical class 0.000 description 22
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 22
- 150000003973 alkyl amines Chemical class 0.000 description 20
- KRHYYFGTRYWZRS-UHFFFAOYSA-N hydrofluoric acid Substances F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 20
- 230000007547 defect Effects 0.000 description 19
- 238000005137 deposition process Methods 0.000 description 18
- 230000000694 effects Effects 0.000 description 18
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 18
- 238000012545 processing Methods 0.000 description 17
- 125000006273 (C1-C3) alkyl group Chemical group 0.000 description 16
- 239000000203 mixture Substances 0.000 description 16
- 239000012159 carrier gas Substances 0.000 description 13
- 125000004178 (C1-C4) alkyl group Chemical group 0.000 description 11
- 150000004703 alkoxides Chemical class 0.000 description 11
- 229910052734 helium Inorganic materials 0.000 description 11
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 11
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 11
- 239000013110 organic ligand Substances 0.000 description 11
- 125000001181 organosilyl group Chemical group [SiH3]* 0.000 description 11
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 11
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 11
- PZKOFHKJGUNVTM-UHFFFAOYSA-N trichloro-[dichloro(trichlorosilyl)silyl]silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)[Si](Cl)(Cl)Cl PZKOFHKJGUNVTM-UHFFFAOYSA-N 0.000 description 11
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 10
- 206010040844 Skin exfoliation Diseases 0.000 description 10
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 10
- 229910052799 carbon Inorganic materials 0.000 description 10
- 125000000524 functional group Chemical group 0.000 description 10
- 239000012535 impurity Substances 0.000 description 10
- 125000004433 nitrogen atom Chemical group N* 0.000 description 10
- 125000006850 spacer group Chemical group 0.000 description 10
- 235000012431 wafers Nutrition 0.000 description 10
- 150000001412 amines Chemical class 0.000 description 9
- 238000007654 immersion Methods 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 239000011261 inert gas Substances 0.000 description 8
- 150000004767 nitrides Chemical class 0.000 description 8
- 239000004065 semiconductor Substances 0.000 description 8
- 229910052801 chlorine Inorganic materials 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 7
- 229910052756 noble gas Inorganic materials 0.000 description 7
- 238000006557 surface reaction Methods 0.000 description 7
- 150000001923 cyclic compounds Chemical class 0.000 description 6
- 238000000280 densification Methods 0.000 description 6
- RNRZLEZABHZRSX-UHFFFAOYSA-N diiodosilicon Chemical compound I[Si]I RNRZLEZABHZRSX-UHFFFAOYSA-N 0.000 description 6
- 239000010410 layer Substances 0.000 description 6
- 239000002052 molecular layer Substances 0.000 description 6
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- 125000001246 bromo group Chemical group Br* 0.000 description 5
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical class Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 5
- 230000005596 ionic collisions Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 150000003377 silicon compounds Chemical class 0.000 description 5
- 230000035618 desquamation Effects 0.000 description 4
- 150000004820 halides Chemical class 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- PNDPGZBMCMUPRI-UHFFFAOYSA-N iodine Chemical compound II PNDPGZBMCMUPRI-UHFFFAOYSA-N 0.000 description 4
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical class I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 4
- 150000002835 noble gases Chemical class 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 239000000243 solution Substances 0.000 description 4
- 229910052717 sulfur Inorganic materials 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 230000003247 decreasing effect Effects 0.000 description 3
- 150000002500 ions Chemical class 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 239000012808 vapor phase Substances 0.000 description 3
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- 241000209094 Oryza Species 0.000 description 2
- 235000007164 Oryza sativa Nutrition 0.000 description 2
- 241000233805 Phoenix Species 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 230000002500 effect on skin Effects 0.000 description 2
- 238000004299 exfoliation Methods 0.000 description 2
- 230000001747 exhibiting effect Effects 0.000 description 2
- 238000000349 field-emission scanning electron micrograph Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 229910052743 krypton Inorganic materials 0.000 description 2
- 239000013521 mastic Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 235000009566 rice Nutrition 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 2
- 238000001179 sorption measurement Methods 0.000 description 2
- 239000011593 sulfur Substances 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000000624 total reflection X-ray fluorescence spectroscopy Methods 0.000 description 2
- WDVUXWDZTPZIIE-UHFFFAOYSA-N trichloro(2-trichlorosilylethyl)silane Chemical compound Cl[Si](Cl)(Cl)CC[Si](Cl)(Cl)Cl WDVUXWDZTPZIIE-UHFFFAOYSA-N 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910052724 xenon Inorganic materials 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- 229910007991 Si-N Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910006294 Si—N Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 238000006757 chemical reactions by type Methods 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- LIWAQLJGPBVORC-UHFFFAOYSA-N ethylmethylamine Chemical compound CCNC LIWAQLJGPBVORC-UHFFFAOYSA-N 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 125000000623 heterocyclic group Chemical group 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002829 nitrogen Chemical class 0.000 description 1
- 239000012071 phase Substances 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 239000012713 reactive precursor Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229930195734 saturated hydrocarbon Natural products 0.000 description 1
- 238000001878 scanning electron micrograph Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 230000008685 targeting Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000001131 transforming effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3211—Nitridation of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
- H01L21/76856—After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Electromagnetism (AREA)
- Inorganic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
(1)シリコン種が基板表面に吸着するように、基板をシリコン前駆体と接触すること210、
(2)基板を窒素前駆体と接触すること220、
(3)所望の厚さ及び組成の薄膜を実現するために要求される回数だけステップ210及び220を繰り返すこと、
を含む。
一部の実施形態では、プラズマエンハンストALD(plasma enhanced ALD(PEALD))処理は、SiN膜を堆積するために使用される。簡単に言うと、基板又はワークピースは、反応チャンバに配置され、交互に繰り返される表面反応を受ける。一部の実施形態では、SiN薄膜は、自己制限ALDサイクルの繰り返しにより形成される。好ましくは、SiN膜を形成するために、各ALDサイクルは、少なくとも2つの異なる段階を含む。反応空間からの反応物質の提供及び除去は、一つの段階と見なされてもよい。第1の段階では、シリコンを含む第1の反応物質が提供され、基板表面上にわずかほぼ一つの単層を形成する。この反応物質は、本明細書において“シリコン前駆体”、“シリコン含有前駆体”又は“シリコン反応物質”とも呼ばれ、例えば、H2SiI2であってもよい。
複数の適切なシリコン前駆体は、現在開示されたPEALD処理で使用されうる。シリコン前駆体の少なくともいくつかは、以下の一般式を有してもよい:
(1)H2n+2-y-zSinXyAz
ここで、n=1-10、y=1又はそれ以上(及び2n+2-zまで)、z=0又はそれ以上(及び2n+2-y)、XはI又はBr、AはX以外のハロゲンであり、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。
(2)H2n-y-zSinXyAz
ここで、式(2)の化合物は、環状化合物であり、n=3-10、y=1又はそれ以上(及び2n-zまで)、z=0又はそれ以上(及び2n-yまで)、XはI又はBr、AはX以外のハロゲンであり、n=3-6であることが好ましい。
(3)H2n+2-y-zSinIyAz
ここで、n=1-10、y=1又はそれ以上(及び2n+2-zまで)、z=0又はそれ以上(及び2n+2-yまで)、AはI以外のハロゲンであり、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。
(4)H2n-y-zSinIyAz
ここで、式(4)の化合物は、環状化合物であり、n=3-10、y=1又はそれ以上(及び2n-zまで)、z=0又はそれ以上(及び2n-yまで)、AはI以外のハロゲンであり、n=3-6であることが好ましい。
(5)H2n+2-y-zSinBryAz
ここで、n=1-10、y=1又はそれ以上(及び2n+2-zまで)、z=0又はそれ以上(及び2n+2-yまで)、AはBr以外のハロゲンであり、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。
(6)H2n-y-zSinBryAz
ここで、式(6)の化合物は、環状化合物であり、n=3-10、y=1又はそれ以上(及び2n-zまで)、z=0又はそれ以上(及び2n-yまで)、AはBr以外のハロゲンであり、n=3-6であることが好ましい。
(7)H2n+2-ySinIy
ここで、n=1-5、y=1又はそれ以上(2n+2まで)、n=1-3であることが好ましく、n=1-2であることがより好ましい。
(8)H2n+2-ySinBry
ここで、n=1-5、y=1又はそれ以上(2n+2まで)、n=1-3であることが好ましく、n=1-2であることがより好ましい。
上述したように、本開示に係る第2の反応物質は、窒素前駆体を含んでもよい。一部の実施形態では、PEALD処理における第2の反応物質は、反応種を含んでもよい。適切なプラズマ組成物は、窒素プラズマ、窒素のラジカル又は何らかの形態の原子状窒素を含む。一部の実施形態では、反応種は、N含有プラズマ又はNを含むプラズマを含んでもよい。一部の実施形態では、反応種は、N含有種を含むプラズマを含んでもよい。一部の実施形態では、反応種は、窒素原子及び/又はN*ラジカルを含んでもよい。一部の実施形態では、水素プラズマ、水素のラジカル、又は何らかの形態の原子状窒素も提供される。一部の実施形態では、プラズマは、He、Ne、Ar、Kr及びXeのような希ガスも含んでもよく、ラジカルとしてのプラズマ形態又は原子形態でのAr又はHeであることが好ましい。一部の実施形態では、第2の反応物質は、Arのような希ガスからの任意の種を含まない。よって、一部の実施形態では、プラズマは、希ガスを含むガスで生成されない。
(1)シリコン種が基板表面に吸着するように、気化したシリコン前駆体を基板と接触すること310、
(2)反応空間に窒素前駆体を導入すること320、
(3)窒素前駆体から反応種を生成すること330、及び
(4)基板を反応種と接触し、それにより、吸着されたシリコン化合物を窒化シリコンに変えること340
を含む。
(1)三次元構造を含む基板を反応空間に提供する、
(2)シリコン含有種が基板の表面に吸着されるように、SiI2H2のようなシリコン含有前駆体を反応空間に導入する、
(3)余剰シリコン含有前駆体及び反応副生成物を反応空間から除去する、
(4)N2、NH3、N2H4、又はN2及びH2のような窒素含有前駆体を反応空間に導入する、
(5)窒素前駆体から反応種を生成する、
(6)基板を反応種と接触する、及び
(7)余剰な窒素原子、プラズマ又はラジカル及び反応副生成物を除去する。
ステップ(2)から(7)は、所望の厚さの窒化シリコン膜が形成されるまで繰り返されてもよい。
本明細書に示される方法は、熱ALD処理により基板表面上の窒化シリコン膜の堆積も可能にする。三次元構造のような幾何学的に難易度の高い用途もこれらの熱処理により可能である。一部の実施形態によれば、熱原子層堆積(ALD)型処理は、集積回路ワークピースのような基板上に窒化シリコン膜を形成するために使用される。
複数の適切なシリコン前駆体は、熱ALD処理のような前記開示された熱処理で使用されてもよい。一部の実施形態では、これらの前駆体は、所望の品質(所望のWER、WERR、パターンローディング効果及び/又は以下に説明されるステップカバレージ構成のうちの少なくとも1つ)を有する膜が堆積されるプラズマALD処理でも使用されてもよい。
(9)H2n+2-y-z-wSinXyAzRw
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキルリガンドである。
(10)H2n-y-z-wSinXyAzRw
ここで、n=3-10、y=1又はそれ以上(及び2n-z-wまで)、z=0又はそれ以上(及び2n-y-wまで)、w=0又はそれ以上(及び2n-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキルリガンドである。
(11)H2n+2-y-z-wSinIyAzRw
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、AはI以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキルリガンドである。
(12)H2n-y-z-wSinIyAzRw
ここで、n=3-10、y=1又はそれ以上(及び2n-z-wまで)、z=0又はそれ以上(及び2n-y-wまで)、w=0又はそれ以上(及び2n-y-zまで)、AはI以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキルリガンドである。
(13)H2n+2-y-z-wSinBryAzRw
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、AはBr以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキルリガンドである。
(14)H2n-y-z-wSinBryAzRw
ここで、n=3-10、y=1又はそれ以上(及び2n-z-wまで)、z=0又はそれ以上(及び2n-y-wまで)、w=0又はそれ以上(及び2n-y-zまで)、AはBr以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキルリガンドである。
(15)H2n+2-y-z-wSinXyAzRII w
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、RIIはI又はBrを含む有機リガンドであり、かつI又はBr置換アルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、RIIは、ヨウ素置換C1-C3アルキルリガンドである。
(16)H2n-y-z-wSinXyAzRII w
ここで、n=3-10、y=0又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、RIIはI又はBrを含む有機リガンドであり、かつI又はBr置換アルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、ヨウ素置換C1-C3アルキルリガンドである。
(17)H2n+2-y-z-wSinXyAz(NR1R2)w
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、R1及びR2は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R1及びR2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1及びR2は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。
(18)(H3-y-z-wXyAz(NR1R2)wSi)3-N
ここで、y=1又はそれ以上(及び3-z-wまで)、z=0又はそれ以上(及び3-y-wまで)、w=1又はそれ以上(及び3-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、R1及びR2は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができる。好ましくは、R1及びR2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1及びR2は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。3つのH3-y-z-wXyAz(NR1R2)wSiリガンドのそれぞれは、互いに独立に選択されうる。
(19)H2n+2-y-wSinIy(NR1R2)w
ここで、n=1-10、y=1又はそれ以上(及び2n+2-wまで)、w=1又はそれ以上(及び2n+2-yまで)、Nは窒素であり、R1及びR2は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R1及びR2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1及びR2は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。
(20)(H3-y-wIy(NR1R2)wSi)3-N
ここで、y=1又はそれ以上(及び3-wまで)、w=1又はそれ以上(及び3-yまで)、Nは窒素であり、R1及びR2は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができる。好ましくは、R1及びR2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1及びR2は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。3つのH3-y-wIy(NR1R2)wSiリガンドのそれぞれは、互いに独立に選択されうる。
(21)H2n+2-y-z-wSinXyAz(NR1R2)w
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、R1は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、R2は、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R1は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。好ましくは、R2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのようなC1-C4アルキル基である。より好ましくは、R2は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。
(22)(H3-y-z-wXyAz(NR1R2)wSi)3-N
ここで、y=1又はそれ以上(及び3-z-wまで)、z=0又はそれ以上(及び3-y-wまで)、w=1又はそれ以上(及び3-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、R1は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、R2は、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R1は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。好ましくは、R2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのようなC1-C4アルキル基である。より好ましくは、R2は、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。
(23)H2n+2-y-wSinIy(NR1R2)w
ここで、n=1-10、y=1又はそれ以上(及び2n+2-wまで)、w=1又はそれ以上(及び2n+2-yまで)、Nは窒素であり、R1は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、R2は、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R1は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。好ましくは、R2は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのようなC1-C4アルキル基である。より好ましくは、R2は、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。
(24)(H3-y-wIy(NR1R2)wSi)3-N
ここで、y=1又はそれ以上(及び3-wまで)、w=1又はそれ以上(及び3-yまで)、Nは窒素であり、R1は、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、R2は、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R1は、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC1-C4アルキル基である。より好ましくは、R1は、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC1-C3アルキル基である。より好ましくは、R2は、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。より好ましくは、R2は、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。(NR1R2)wリガンドのそれぞれは、互いに独立に選択されうる。
ヨウ素又は臭素置換シラザン又は硫黄のような窒素を含む複数の適切なシリコン前駆体は、前述された熱及びプラズマALD処理で使用されてもよい。一部の実施形態では、ヨウ素又は臭素置換シラザン又は硫黄のような窒素を含む複数の適切なシリコン前駆体は、前述された熱及びプラズマALD処理で使用されてもよく、所望の品質、例えば、以下に説明される所望のWER、WERR、パターンローディング効果及び/又はステップカバレージ構成のうちの少なくとも1つを有する膜が堆積される。
(25)H2n+2-y-z-wSin(EH)n-1XyAzRw
ここで、n=2-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、XはI又はBr、EはN又はS、好ましくはN、AはX以外のハロゲンであり、Rは、有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=2-5であることが好ましく、n=2-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。
(26)H2n+2-y-z-wSin(NH)n-1XyAzRw
ここで、n=2-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、XはI又はBr、AはX以外のハロゲンであり、Rは、有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=2-5であることが好ましく、n=2-3であることがより好ましく、n=2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。
(27)-Si-E-Si-
ここで、EはN又はSであり、Nが好ましい。
(28)(H3-y-z-wXyAzRwSi)3-N
ここで、y=1又はそれ以上(及び3-z-wまで)、z=0又はそれ以上(及び3-y-wまで)、w=0又はそれ以上(及び3-y-zまで)、XはI又はBr、AはX以外のハロゲンであり、Rは、有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができる。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC1-C3アルキル基である。3つのH3-y-z-wXyAzRwSiリガンドのそれぞれは、互いに独立に選択されうる。
一部の実施形態によれば、熱ALDにおける第2の反応物質又は窒素前駆体は、NH3、N2H4、又はN-H結合を有する任意の数の他の適切な窒素化合物であってもよい。
(1)シリコン化合物が基板表面に吸着するように、基板を気化したシリコン前駆体と接触すること410、
(2)余剰シリコン前駆体及び反応副生成物を除去すること420、
(3)基板を窒素前駆体と接触すること430、及び
(4)余剰窒素前駆体及び反応副生成物を除去すること440
を含む。
(1)基板を反応空間に搬入する、
(2)シリコン含有前駆体が基板の表面に吸着するように、H2SiI2のようなシリコン含有前駆体を反応空間に導入する、
(3)パージ等により、余剰なシリコン含有前駆体及び反応副生成物を除去する、
(4)シリコン含有前駆体を基板上のシリコン含有前駆体と反応するために、NH3又はN2H4のような窒素含有前駆体を反応空間に導入する、
(5)パージ等により、余剰な窒素含有前駆体及び反応副生成物を除去する、及び
(6)所望厚さの窒化シリコン膜が形成されるまでステップ(2)から(5)が繰り返される。
本明細書で説明される実施形態の幾つかに係る窒化シリコン薄膜(シリコン前駆体が臭素又はヨウ素を含むかどうかに関わらず)は、約3at-%未満、好ましくは約1at-%未満、より好ましくは約0.5at-%未満、最も好ましくは約0.1at-%未満の不純物レベル又は濃度を実現してもよい。一部の薄膜では、水素を除く総不純物レベルは、約5at-%未満、好ましくは約2at-%未満、より好ましくは約1at-%未満、最も好ましくは約0.2at-%であってもよい。また、一部の薄膜では、水素の不純物レベルは、約30at-%未満、好ましくは約20at-%未満、より好ましくは約15at-%未満、最も好ましくは約10at-%であってもよい。
本明細書で説明される方法及び材料は、水平ソース/ドレイン(S/D)及びゲート面を有する従来の横方向のトランジスタ設計についての向上した品質及び改善されたエッチング速度を有する膜を提供しうるだけでなく、水平でない(例えば、垂直)面で、及び複雑な三次元(3D)構造で使用するための改善されたSiN膜も提供しうる。特定の実施形態では、SiN膜は、集積回路製造時に三次元構造で開示される方法により堆積される。三次元トランジスタは、例えば、ダブルゲートフィールドエフェクトトランジスタ(DG FET)、及びFinFETを含む他の種類の複数ゲートFETを含んでもよい。例えば、本開示の窒化シリコン薄膜は、FinFETのような非平面複数ゲートトランジスタで有益であってもよく、ゲート、ソース及びドレイン領域の上に追加して、垂直壁にシリサイドを形成することが望ましい。
窒化シリコン薄膜は、シラン前駆体としてH2SiI2を用い、窒素前駆体としてH2+N2プラズマを用いたPEALD処理により、本開示に従って400℃で堆積された。この膜は、両方のALD反応タイプの最良の品質のいくつかの組み合わせを示した:典型的に高品質なPEALD SiN膜及び熱ALD膜の等方性エッチング作用。これらの結果は完全に解明されていないが、膜の特性及びエッチング作用は、それにもかかわらず、高品質スペーサ層の用途のためのスペック内にある。
改善されたエッチング特性及び不純物量(実施例1との比較)を有する窒化シリコン薄膜は、本開示に係るPEALD処理によりダイレクトプラズマALDシャワーヘッド型リアクタで堆積された。200℃及び400℃のサセプタ温度が使用された。H2SiI2は、シリコン前駆体として使用され、H2+N2プラズマは、窒素前駆体はとして使用された。プラズマパワーは、約200Wから約220Wであり、シャワーヘッドプレートとサセプタとの間のギャップ(すなわち、プラズマが生成されるスペース)は、10mmであったプラズマはArを含まなかった。窒素は、キャリアガスとして使用され、堆積処理を通じて流された。H2SiI2消費量は、約9.0mg/cycleであった。
本明細書で説明されるように、プラスマ処理ステップは、膜特性を向上するために、様々な材料の形成に使用されてもよい。特に、例えば、窒素プラズマを用いるようなプラズマ高密度化ステップは、SiN膜のような窒化膜の特性を向上してもよい。一部の実施形態では、SiN膜を形成するための処理は、SiNを堆積し、堆積されたSiNをプラズマ処理で処理することを含む。一部の実施形態では、SiNは、熱ALD処理により堆積され、次に、プラズマ処理を受ける。例えば、SiNは、シリコン種が基板の表面に吸着されるように、基板がシリコン前駆体と接触される第1の段階と、基板の表面に吸着されたシリコン種が窒素前駆体と接触する第2の段階と、を含む複数の堆積サイクルを有する熱ALD処理により堆積されてもよい。本明細書で説明されるように、熱ALD処理により堆積されるSiNは、例えば、エッチング堆積サイクルの後、堆積処理時のインターバル、又はSiN堆積処理の完了に続いてプラズマ処理を受けてもよい。一部の実施形態では、SiNは、PEALD処理により堆積される。一部の実施形態では、PEALD堆積処理は、第1の段階と、第2の段階とを含む。例えば、SiN PEALD処理の第1の段階は、シリコン種が、対象となる基板の表面に吸着されるように、対象となる基板をシリコン前駆体と接触することを含んでもよく、SiN PEALD処理の第2の段階は、SiNを形成するために、対象となる基板の表面に吸着されたシリコン種を、窒素を含むプラズマと接触することを含んでもよい。堆積処理のこの部分では、プラズマは、水素イオンを含んでもよい。例えば、PEALD窒化シリコン堆積サイクルは、対象となる基板を、本明細書で説明されるもののようなシリコン前駆体及び例えば、窒素のプラズマのような活性化した窒素前駆体、及び水素ガスと接触することを含んでもよい。対象となる基板は、このステップにおいて、活性化した水素含有種(例えば、H+及び/又はH3 +イオン)に暴露されてもよく、これは、例えば、表面反応をしやすくする。しかし、窒化シリコン膜を活性化した水素含有種に暴露することは、窒化シリコン膜の堆積を容易にする(例えば、窒化シリコン膜のコンフォーマルな堆積のための1以上の表面反応を容易にする)が、このような暴露は、膜の剥離及び/又は窒化シリコン膜におけるブリスター欠陥のような1以上の欠陥の形成をもたらす場合があることがわかっている。よって、一部の実施形態では、PEALD窒化シリコン堆積サイクルにおける第1のプラズマステップ(窒素プラズマ前駆体ステップともいう)は、大きな欠陥形成又は剥離を防ぐために十分低いプラズマパワー及び十分短い時間で行われる。
(2)必要に応じて、余剰なシリコン含有前駆体及び/又は反応副生成物を反応空間から除去すること、
(3)N2、NH3、N2H4又はN2及びH2のような窒素含有前駆体を反応空間に導入すること、
(4)窒素前駆体から反応種を生成すること、
(5)基板を反応種と接触すること、
(6)必要に応じて、余剰な窒素原子、プラズマ又はラジカル及び/又は反応副生成物を除去すること、
(7)基板を窒素プラズマ処理に適用すること。
ステップ(1)から(6)は、所望の厚さの窒化シリコン膜が形成されるまで繰り返されてもよい。
実施例1
窒化シリコン膜形成処理のサイクルの2つの実施例が以下に提供される。各処理は、窒素プラズマトリートメントを有するPEALD窒化シリコン堆積処理と、以下に説明されるような図9でグラフ化される各シーケンスを用いて形成される窒化シリコン膜のウェットエッチング性能と、の組み合わせを含む。
窒化シリコン膜形成処理のサイクルは、総計18秒間の対象となる基板をプラズマに暴露することが含まれていた:対象となる基板をシリコン前駆体に2秒間暴露すること、続いて、対象となる基板を、窒素(N2)及び水素(H2)により窒素前駆体のために生成されるプラズマに、約50Wのパワーで、6秒間暴露すること、続いて、対象となる基板を、窒素プラズマ処理のために窒素(N2)及びアルゴン(Ar)により生成されるプラズマに、約200Wのプラズマパワーで、6秒間暴露すること、続いて、対象となる基板を、窒素(N2)及び水素(H2)から生成されたプラズマに、約50Wのパワーで、6秒間暴露すること(例えば、対象となる基板に-NH表面官能基を提供するために)。
窒化シリコン膜形成処理のサイクルは、総計30秒間の対象となる基板をプラズマに暴露することが含まれていた:対象となる基板をシリコン前駆体に2秒間暴露すること、続いて、対象となる基板を、窒素(N2)及び水素(H2)を用いて窒素前駆体のために生成されたプラズマに、約50Wのパワーで、12秒間暴露すること、続いて、対象となる基板を、窒素プラズマ処理のために窒素(N2)及びアルゴン(Ar)により生成されるプラズマに、約200Wのプラズマパワーで、6秒間暴露すること、続いて、対象となる基板を、窒素(N2)及び水素(H2)から生成されたプラズマに、約50Wのパワーで、12秒間暴露すること(例えば、対象となる基板に-NH表面官能基を提供するために)。
図10は、ラジカル誘導膜成長から隔てたイオン衝突により誘起される膜成長を示すように構成されるダイレクトプラズマ反応チャンバでのテストセットアップを示す。プラズマから離れて面した研磨表面を有するシリコンサンプル(例えば、図10の下に示される)は、シリコンウェーハに搭載されうる(例えば、反応チャンバのシャワーヘッド)。ギャップは、シリコンサンプルとウェーハとの間に維持されうる。ギャップは、約0.7mmから約2.1mmの間で変化されうる。例えば、このようなセットアップにおいて、イオンは、研磨されたシリコンサンプル表面にわずか又は全く到達しないが、ラジカルは、研磨されたシリコンサンプル表面に拡散しうる。テストセットアップを用いて行われるSiI2H2+N2/H2 PEALD窒化シリコン堆積処理は、シリコンサンプル表面にフェースダウンで膜を成長しうる。この成長は、主に、N*、H*、NH*及び/又はNH2*のようなラジカルにより生じると考えられる。形成されたシリコンサンプル表面上の膜成長は、ラジカル誘導膜成長を決定するために測定されうる。
本明細書で説明されるように、窒化シリコン膜品質は、処理温度の増加、プラズマパワーの増加及び/又は長いプラズマパルス期間を適用することにより、改善されてもよい。しかし、活性化した水素含有種を含むプラズマのような、プラズマパワー及び/又はプラズマパルス期間の増加は、ブリスター及び/又は剥離欠陥を示す窒化シリコン膜を提供する可能性がある。
本明細書で説明されるように、窒素プラズマ処理が適用される頻度は、所望の窒化シリコン膜特性を実現するように変化されうる。窒素プラズマ処理頻度の窒化シリコン膜特性上の効果は、膜堆積後にのみ処理される窒化シリコン膜をエッチングすることにより、近似され、1つの窒素プラズマ処理は30分間であった。図13Aは、希釈HF(dHF)での分単位の浸漬時間の関数としての、nm単位の膜厚を示し、図13Bは、希釈HF(dHF)での分単位の浸漬時間の関数としての、熱酸化シリコン(TOX)と比較した膜のウェットエッチング速度比(WERR)を示す。図13Bは、この膜のエッチング速度が、約1nmがエッチングされたエッチング時間の初めの4分間では非常に低かったことを示す。10分間の浸漬時間の後、エッチング速度は、窒素プラズマ処理を受けなかった窒化シリコン膜と同じレベルで増加した。これは、窒化シリコン膜の少なくとも約1nmから約2nmは、窒素プラズマ処理を用いて高いエッチング耐性(例えば、“スキンエフェクト(skin effect)”)をなしうると結論付けられうる。一部の実施形態では、窒素トリートメント処理の“スキンエフェクト”は、約2nmから約3nmの深さを実現しうる。
図15は、窒化シリコン形成処理の1つのサイクルに含まれる、秒単位の、窒素プラズマ処理の期間に対する、3D構成の水平面(図15に“上面”と付される)及び垂直面(図15に“側面”と付される)に形成される窒化シリコン膜の熱酸化(TOX)と比較した、ウェットエッチング速度比(WERR)を示す。窒化シリコン膜は、窒素プラズマ処理と組み合わせたPEALD窒化シリコン膜堆積処理を用いて成長された。窒化シリコン形成処理の1つのサイクルは、以下のようなシーケンスである:0.3秒間のシリコン前駆体、並びに水素及び窒素が反応チャンバに供給されるシリコン前駆体ステップ、続いて0.5秒間の窒素及び水素が反応チャンバに供給されるパージ処理、続いて3.3秒間、約165Wのプラズマパワー(約0.11W/cm3の出力密度)で、窒素及び水素が反応チャンバに供給されるプラズマ窒素前駆体ステップ、続いて10秒間の窒素が反応チャンバに供給されるパージ処理、続いて約220W(約0.15W/cm3)のプラズマパワーで、窒素が反応チャンバに供給される窒素プラズマ処理、続いて10秒間の水素及び窒素が反応チャンバに供給されるパージ処理。図15に対応する窒化シリコン膜は、550℃の温度で、シーケンスの約190サイクルを用いて形成された。
一部の実施形態では、SiN薄膜の堆積のための処理は、マルチステッププラズマ暴露を含む。例えば、SiN薄膜の堆積のためのPEALD処理のサイクルは、基板をシリコン前駆体に接触することを含む第1の段階を含んでもよい。一部の実施形態では、シリコン前駆体は、本明細書で説明されるように、1以上のシリコン前駆体を含む。一部の実施形態では、シリコン前駆体は、オクタクロロトリシラン(octachlorotrisilane(OCTS))のような塩素含有前駆体を含む。
図19Aは、2つのSiN薄膜の特性を示す表である。図19Aの表は、各SIN膜それぞれの、angstroms per cycle(Å/cycle)の成長速度、屈折率、及びnanometers per minute(nm/min)のウェットエッチング速度(WER)のリストである。当該表は、SiN膜のそれぞれのウェットエッチング速度を熱酸化物(TOX)のものと比べたウェットエッチング速度比(WERR)のリストでもある。図19Aに示されるウェットエッチング速度及びウェットエッチング速度比は、0.5重量%のフッ化水素酸hydrofluoric acid(HF)溶液(希釈HF溶液又はdHF)に膜を暴露した後に測定された。
Claims (28)
- 反応空間において基板にSiN薄膜を形成する方法であって、
前記基板の表面に吸着される第1のシリコン種を提供するために、前記基板を、ヨウ素を含むシリコン前駆体と接触するステップと、
前記表面に吸着される前記第1のシリコン種を含む前記基板を、活性化した水素種を含む第1のプラズマと接触し、それにより、前記基板にSiNを形成するステップと、
窒素プラズマ処理を導入するステップであって、前記窒素プラズマ処理は、前記SiN薄膜を形成するために、SiNを含む前記基板を、水素含有種が実質的にないガスを含む窒素から形成される第2のプラズマと接触するステップと、
を備える方法。 - 前記第1のプラズマは、水素、水素原子、水素プラズマ、水素ラジカル、N*ラジカル、NH*ラジカル及びNH2*ラジカルの少なくとも1つを含む請求項1に記載の方法。
- 前記第1のプラズマは、第1のパワーを用いて生成され、前記第2のプラズマは、第2のパワーを用いて生成される請求項1に記載の方法。
- 前記第2のパワーは、前記第1のパワーよりも大きい請求項3に記載の方法。
- 前記第2のパワーは、前記第1のパワーよりも小さい請求項3に記載の方法。
- 前記基板を前記第1のプラズマと接触するステップは、前記窒素プラズマ処理の期間よりも長い期間で実行される請求項1に記載の方法。
- 前記窒素プラズマ処理の期間は、前記基板を前記第1のプラズマと接触する期間の5%から75%である請求項6に記載の方法。
- 前記基板を、ヨウ素を含む前記シリコン前駆体と接触するステップと、前記基板を前記第1のプラズマに接触するステップと、を前記窒素プラズマ処理を導入する前に、2回以上繰り返すステップを更に備える請求項1に記載の方法。
- 前記窒素プラズマ処理は、少なくとも25回の繰り返し後に導入される請求項8に記載の方法。
- 前記SiN薄膜は、側壁及び上部領域を含む三次元構造で形成され、前記上部領域の前記SiN薄膜のウェットエッチング速度(WER)に対する前記側壁の前記SiN薄膜のウェットエッチング速度WERの比は、0.5%dHFにおいて0.75から1.5である請求項1に記載の方法。
- 熱シリコン酸化膜のエッチング速度に対する前記SiN薄膜のエッチング速度のエッチング速度比は、0.5%HF水溶液において0.5未満である請求項1に記載の方法。
- 前記シリコン前駆体は、SiI2H2を含む請求項1に記載の方法。
- 反応空間において基板にSiN薄膜を堆積する方法であって、
シリコン種が前記基板の表面に吸着するように、ヨウ素を含むシリコン前駆体に前記基板を暴露するステップと、
前記表面に吸着された前記シリコン種を含む前記基板を、第1の窒素含有プラズマ及び第2の異なるプラズマに暴露するステップと、
を備える方法。 - 前記基板を前記窒素含有プラズマに暴露するステップは、水素ガス及び窒素ガスの両方を用いて生成されるプラズマに前記基板を暴露することを含む請求項13に記載の方法。
- 前記第1のプラズマ及び前記第2のプラズマの少なくとも一方とは異なる第3のプラズマに前記基板を暴露するステップを更に備える請求項13に記載の方法。
- 前記第1、第2及び第3のプラズマのうちの2つは、水素ガス及び窒素ガスの両方を用いて生成されるプラズマを含み、前記第1、第2及び第3のプラズマのうちの1つは、水素ガスを用いて生成されるプラズマを含む請求項15に記載の方法。
- 前記基板は、第1の期間で前記第1のプラズマに暴露され、前記基板は、第2の期間で前記第2のプラズマに暴露され、前記基板は、第3の期間で前記第3のプラズマに暴露され、前記第1の期間は、前記第2の期間よりも長く、前記第2の期間は、前記第3の期間よりも長い請求項15に記載の方法。
- 反応空間において基板にSiN薄膜を堆積する方法であって、
シリコン種が前記基板の表面に吸着するように、前記基板をハロゲン化シリコンに暴露するステップと、
前記基板を、窒素含有かつ水素含有ガスを用いて生成される第1のプラズマに暴露するステップと、
前記基板を、水素含有ガスを用いて生成される第2のプラズマに暴露するステップと、
前記基板を、水素含有ガス及び窒素含有ガスを用いて生成される第3のプラズマに暴露するステップと、
前記基板を、前記ハロゲン化シリコン、前記第1のプラズマ、前記第2のプラズマ及び前記第3のプラズマに暴露するステップを繰り返すステップと、
を備える方法。 - 前記基板を前記第1のプラズマに暴露するステップと、前記基板を前記第2のプラズマに暴露するステップとの間に前記反応空間から余剰な反応物質を除去するステップと、
前記基板を前記第2のプラズマに暴露するステップと、前記基板を前記第3のプラズマに暴露するステップとの間に前記反応空間から余剰な反応物質を除去するステップと、
を更に備える請求項18に記載の方法。 - 前記余剰な反応物質を除去するステップは、プラズマをオフし、水素ガスを流すことを含む請求項18に記載の方法。
- 前記SiN薄膜を堆積するために追加の反応物質が使用されない請求項20に記載の方法。
- 反応空間において基板にSiN薄膜を形成する方法であって、
原子層堆積処理を用いて前記基板にSiNを堆積するステップであって、前記原子層堆積処理は、前記基板を、ヨウ素を含むシリコン前駆体と接触することを含む、ステップと、
堆積された前記SiNに窒素プラズマ処理を導入するステップであって、前記窒素プラズマ処理は、前記SiNを含む前記基板を、水素含有種が実質的にないガスを含む窒素から形成された窒素プラズマに接触することを含む、ステップと、
を備える方法。 - 前記窒素プラズマ処理を導入するステップは、前記基板上の前記SiNを、水素含有種が実質的にないプラズマと接触することを含む請求項22に記載の方法。
- 前記原子層堆積処理は、PEALD処理を含み、
前記PEALD処理は、
前記基板の表面に吸着される第1のシリコン種を提供するために、前記基板を、ヨウ素を含む前記シリコン前駆体と接触するステップと、
前記表面に吸着される前記第1のシリコン種を含む前記基板を、活性化した水素種を含む第1のプラズマと接触するステップと、を含む請求項22に記載の方法。 - 前記窒素プラズマ処理を導入する前に、前記基板を前記シリコン前駆体及び前記第1のプラズマと接触するステップを2回以上繰り返すステップを更に備える請求項24に記載の方法。
- 前記活性化した水素種は、水素、水素原子、水素プラズマ、水素ラジカル、N*ラジカル、NH*ラジカル及びNH2*ラジカルの少なくとも1つを含む請求項24に記載の方法。
- 前記SiNを堆積するステップは、第1のパワーを用いて前記第1のプラズマを生成することを含み、前記窒素プラズマ処理を導入するステップは、第2のパワーを用いて前記窒素プラズマを生成し、前記第2のパワーは、前記第1のパワーよりも大きい請求項24に記載の方法。
- 前記原子層堆積処理は、熱ALD処理を含む請求項22に記載の方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201462051867P | 2014-09-17 | 2014-09-17 | |
US62/051,867 | 2014-09-17 | ||
US201562180511P | 2015-06-16 | 2015-06-16 | |
US62/180,511 | 2015-06-16 | ||
JP2021119957A JP7062817B2 (ja) | 2014-09-17 | 2021-07-20 | SiNの堆積 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021119957A Division JP7062817B2 (ja) | 2014-09-17 | 2021-07-20 | SiNの堆積 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2022089928A true JP2022089928A (ja) | 2022-06-16 |
JP7158616B2 JP7158616B2 (ja) | 2022-10-21 |
Family
ID=55455420
Family Applications (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015183621A Active JP6752004B2 (ja) | 2014-09-17 | 2015-09-17 | SiNの堆積 |
JP2020137421A Active JP6919039B2 (ja) | 2014-09-17 | 2020-08-17 | SiNの堆積 |
JP2021119957A Active JP7062817B2 (ja) | 2014-09-17 | 2021-07-20 | SiNの堆積 |
JP2022068713A Active JP7158616B2 (ja) | 2014-09-17 | 2022-04-19 | SiNの堆積 |
Family Applications Before (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2015183621A Active JP6752004B2 (ja) | 2014-09-17 | 2015-09-17 | SiNの堆積 |
JP2020137421A Active JP6919039B2 (ja) | 2014-09-17 | 2020-08-17 | SiNの堆積 |
JP2021119957A Active JP7062817B2 (ja) | 2014-09-17 | 2021-07-20 | SiNの堆積 |
Country Status (4)
Country | Link |
---|---|
US (5) | US9576792B2 (ja) |
JP (4) | JP6752004B2 (ja) |
KR (3) | KR102243442B1 (ja) |
TW (3) | TWI728941B (ja) |
Families Citing this family (374)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9824881B2 (en) * | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9355837B2 (en) | 2014-09-25 | 2016-05-31 | Micron Technology, Inc. | Methods of forming and using materials containing silicon and nitrogen |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
CN113403604B (zh) * | 2015-07-31 | 2024-06-14 | 弗萨姆材料美国有限责任公司 | 用于沉积氮化硅膜的组合物和方法 |
US20170040158A1 (en) * | 2015-08-06 | 2017-02-09 | Applied Materials, Inc. | Low temperature ald on semiconductor and metallic surfaces |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
JP6457104B2 (ja) | 2015-09-29 | 2019-01-23 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US20170178899A1 (en) | 2015-12-18 | 2017-06-22 | Lam Research Corporation | Directional deposition on patterned structures |
JP6544232B2 (ja) * | 2015-12-25 | 2019-07-17 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US20170314129A1 (en) | 2016-04-29 | 2017-11-02 | Lam Research Corporation | Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10157736B2 (en) | 2016-05-06 | 2018-12-18 | Lam Research Corporation | Methods of encapsulation |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
JP6656103B2 (ja) * | 2016-07-15 | 2020-03-04 | 東京エレクトロン株式会社 | 窒化膜の成膜方法および成膜装置 |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9929006B2 (en) | 2016-07-20 | 2018-03-27 | Micron Technology, Inc. | Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures |
WO2018016871A1 (ko) * | 2016-07-22 | 2018-01-25 | (주)디엔에프 | 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법 |
KR102014175B1 (ko) * | 2016-07-22 | 2019-08-27 | (주)디엔에프 | 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법 |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US9865456B1 (en) | 2016-08-12 | 2018-01-09 | Micron Technology, Inc. | Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
KR102698026B1 (ko) * | 2016-09-28 | 2024-08-21 | 삼성전자주식회사 | 유전막 형성 방법 및 반도체 장치의 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) * | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10454029B2 (en) * | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
JP6733516B2 (ja) * | 2016-11-21 | 2020-08-05 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10153156B2 (en) * | 2016-12-15 | 2018-12-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma enhanced atomic layer deposition |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
JP6767885B2 (ja) * | 2017-01-18 | 2020-10-14 | 東京エレクトロン株式会社 | 保護膜形成方法 |
KR101884555B1 (ko) | 2017-02-07 | 2018-08-01 | 연세대학교 산학협력단 | 플라즈마 강화 원자층 증착을 이용한 금속 산화물막 증착 방법 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP6778144B2 (ja) * | 2017-04-25 | 2020-10-28 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US20190067014A1 (en) * | 2017-08-30 | 2019-02-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor device structures |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
WO2019060069A1 (en) * | 2017-09-21 | 2019-03-28 | Applied Materials, Inc. | HIGH FORM REPORT DEPOSIT |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US11955331B2 (en) * | 2018-02-20 | 2024-04-09 | Applied Materials, Inc. | Method of forming silicon nitride films using microwave plasma |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10580645B2 (en) * | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
US11393674B2 (en) * | 2018-05-18 | 2022-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming low-stress silicon nitride layer through hydrogen treatment |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10720526B2 (en) * | 2018-06-29 | 2020-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stress modulation for dielectric layers |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) * | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) * | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
JP7003011B2 (ja) | 2018-07-27 | 2022-01-20 | 東京エレクトロン株式会社 | シリコン窒化膜の成膜方法及び成膜装置 |
US11600530B2 (en) * | 2018-07-31 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
DE102019116860B4 (de) | 2018-07-31 | 2024-10-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Verfahren zur herstellung eines halbleiter-bauelements |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11239420B2 (en) | 2018-08-24 | 2022-02-01 | Lam Research Corporation | Conformal damage-free encapsulation of chalcogenide materials |
KR102707956B1 (ko) * | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
KR20240142581A (ko) * | 2018-09-24 | 2024-09-30 | 버슘머트리얼즈 유에스, 엘엘씨 | 실리콘 및 질소 함유 막의 제조 방법 |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
WO2020081397A1 (en) * | 2018-10-19 | 2020-04-23 | Lam Research Corporation | Method of depositing silicon nitride films |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
CN114127890A (zh) | 2019-05-01 | 2022-03-01 | 朗姆研究公司 | 调整的原子层沉积 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP7200880B2 (ja) * | 2019-08-19 | 2023-01-10 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102695769B1 (ko) | 2019-09-19 | 2024-08-14 | 어플라이드 머티어리얼스, 인코포레이티드 | Peald 나이트라이드 막들 |
US11562901B2 (en) * | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
KR20220097974A (ko) * | 2019-11-08 | 2022-07-08 | 램 리써치 코포레이션 | 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald) |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
JP7300970B2 (ja) * | 2019-11-19 | 2023-06-30 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP7321085B2 (ja) * | 2019-12-26 | 2023-08-04 | 東京エレクトロン株式会社 | 膜形成方法及びシステム |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
KR20210094462A (ko) * | 2020-01-20 | 2021-07-29 | 에이에스엠 아이피 홀딩 비.브이. | 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US20220005693A1 (en) * | 2020-07-01 | 2022-01-06 | Asm Ip Holding B.V. | Silicon nitride and silicon oxide deposition methods using fluorine inhibitor |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
KR20220081905A (ko) | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 증착용 실리콘 전구체 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
KR20220102569A (ko) * | 2021-01-13 | 2022-07-20 | 에이에스엠 아이피 홀딩 비.브이. | 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치 |
TW202302900A (zh) | 2021-04-06 | 2023-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成包括氮化矽之圖案化結構的方法及系統及利用方法形成的裝置結構 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
CN115679291A (zh) * | 2021-07-28 | 2023-02-03 | 长鑫存储技术有限公司 | 通过沉积工艺形成薄膜的方法 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN118402039A (zh) * | 2021-12-14 | 2024-07-26 | 朗姆研究公司 | 硅氮化物的保形沉积 |
US20240178003A1 (en) * | 2022-11-28 | 2024-05-30 | Tokyo Electron Limited | Method of Conductive Material Deposition |
US20240290612A1 (en) * | 2023-02-24 | 2024-08-29 | Applied Materials, Inc. | Conformal and selective sin deposition |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050145177A1 (en) * | 2003-12-30 | 2005-07-07 | Mcswiney Michael | Method and apparatus for low temperature silicon nitride deposition |
JP2008517479A (ja) * | 2004-10-20 | 2008-05-22 | アプライド マテリアルズ インコーポレイテッド | SiN低温堆積法 |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
WO2013137115A1 (ja) * | 2012-03-15 | 2013-09-19 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
WO2014015232A1 (en) * | 2012-07-20 | 2014-01-23 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Organosilane precursors for ald/cvd silicon-containing film applications |
US8828866B1 (en) * | 2013-06-26 | 2014-09-09 | Applied Materials, Inc. | Methods for depositing a tantalum silicon nitride film |
Family Cites Families (187)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6482262B1 (en) | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
US4683147A (en) | 1984-04-16 | 1987-07-28 | Canon Kabushiki Kaisha | Method of forming deposition film |
US4668365A (en) | 1984-10-25 | 1987-05-26 | Applied Materials, Inc. | Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition |
JPS61252932A (ja) | 1985-04-30 | 1986-11-10 | Mitsubishi Heavy Ind Ltd | ワイヤチエ−ンコネクタ |
US4696834A (en) | 1986-02-28 | 1987-09-29 | Dow Corning Corporation | Silicon-containing coatings and a method for their preparation |
JPH01103844A (ja) | 1987-10-16 | 1989-04-20 | Matsushita Electric Ind Co Ltd | 絶縁体薄膜の製造方法 |
US5024716A (en) | 1988-01-20 | 1991-06-18 | Canon Kabushiki Kaisha | Plasma processing apparatus for etching, ashing and film-formation |
JPH0570957A (ja) | 1991-09-19 | 1993-03-23 | Nec Corp | プラズマ気相成長装置 |
US5939333A (en) | 1996-05-30 | 1999-08-17 | Micron Technology, Inc. | Silicon nitride deposition method |
KR100385946B1 (ko) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
AU1592899A (en) | 1997-12-02 | 1999-06-16 | Gelest, Inc. | Silicon based films formed from iodosilane precursors and method of making th e same |
KR20010074387A (ko) | 2000-01-25 | 2001-08-04 | 황 철 주 | 실리콘질화막 형성방법 |
JP3420205B2 (ja) | 2000-11-20 | 2003-06-23 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
KR100385947B1 (ko) * | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
JP5068402B2 (ja) * | 2000-12-28 | 2012-11-07 | 公益財団法人国際科学振興財団 | 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法 |
JP2002308643A (ja) | 2001-02-01 | 2002-10-23 | Nippon Electric Glass Co Ltd | 無アルカリガラス及びディスプレイ用ガラス基板 |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US7084080B2 (en) | 2001-03-30 | 2006-08-01 | Advanced Technology Materials, Inc. | Silicon source reagent compositions, and method of making and using same for microelectronic device structure |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
GB0113751D0 (en) | 2001-06-06 | 2001-07-25 | Dow Corning | Surface treatment |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US9708707B2 (en) | 2001-09-10 | 2017-07-18 | Asm International N.V. | Nanolayer deposition using bias power treatment |
US6929831B2 (en) | 2001-09-15 | 2005-08-16 | Trikon Holdings Limited | Methods of forming nitride films |
JP4121269B2 (ja) | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
KR100469126B1 (ko) * | 2002-06-05 | 2005-01-29 | 삼성전자주식회사 | 수소 함유량이 적은 박막 형성방법 |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
CN100471991C (zh) | 2002-10-18 | 2009-03-25 | 应用材料有限公司 | 采用硅化合物进行的含硅层沉积 |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US7144806B1 (en) | 2002-10-23 | 2006-12-05 | Novellus Systems, Inc. | ALD of tantalum using a hydride reducing agent |
US7446217B2 (en) | 2002-11-14 | 2008-11-04 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US9121098B2 (en) | 2003-02-04 | 2015-09-01 | Asm International N.V. | NanoLayer Deposition process for composite films |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
KR100965758B1 (ko) | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US7125582B2 (en) | 2003-07-30 | 2006-10-24 | Intel Corporation | Low-temperature silicon nitride deposition |
JP4522916B2 (ja) | 2005-06-27 | 2010-08-11 | 東京エレクトロン株式会社 | プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置 |
US7524774B2 (en) | 2003-09-26 | 2009-04-28 | Tokyo Electron Limited | Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, plasma nitridation method, computer recording medium, and program |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181633A1 (en) * | 2004-02-17 | 2005-08-18 | Hochberg Arthur K. | Precursors for depositing silicon-containing films and processes thereof |
TW200603287A (en) | 2004-03-26 | 2006-01-16 | Ulvac Inc | Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith |
US20050282350A1 (en) | 2004-06-22 | 2005-12-22 | You-Hua Chou | Atomic layer deposition for filling a gap between devices |
US20060019032A1 (en) | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
JP4669679B2 (ja) | 2004-07-29 | 2011-04-13 | 東京エレクトロン株式会社 | 窒化珪素膜の製造方法及び半導体装置の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US20060255315A1 (en) | 2004-11-19 | 2006-11-16 | Yellowaga Deborah L | Selective removal chemistries for semiconductor applications, methods of production and uses thereof |
JP2006190770A (ja) | 2005-01-05 | 2006-07-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
CN101527263B (zh) | 2005-02-17 | 2013-03-20 | 株式会社日立国际电气 | 半导体器件的制造方法 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US20060213437A1 (en) | 2005-03-28 | 2006-09-28 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US8138104B2 (en) | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7429538B2 (en) | 2005-06-27 | 2008-09-30 | Applied Materials, Inc. | Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US7785658B2 (en) | 2005-10-07 | 2010-08-31 | Asm Japan K.K. | Method for forming metal wiring structure |
US20070116888A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Method and system for performing different deposition processes within a single chamber |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
US7795160B2 (en) | 2006-07-21 | 2010-09-14 | Asm America Inc. | ALD of metal silicate films |
KR100752190B1 (ko) | 2006-09-04 | 2007-08-27 | 동부일렉트로닉스 주식회사 | 반도체 소자의 갭필 방법 |
US7531452B2 (en) | 2007-03-30 | 2009-05-12 | Tokyo Electron Limited | Strained metal silicon nitride films and method of forming |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7713874B2 (en) | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
CN100590803C (zh) | 2007-06-22 | 2010-02-17 | 中芯国际集成电路制造(上海)有限公司 | 原子层沉积方法以及形成的半导体器件 |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
US7678715B2 (en) | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8129288B2 (en) | 2008-05-02 | 2012-03-06 | Intermolecular, Inc. | Combinatorial plasma enhanced deposition techniques |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US7871942B2 (en) | 2008-03-27 | 2011-01-18 | Applied Materials, Inc. | Methods for manufacturing high dielectric constant film |
US8148269B2 (en) | 2008-04-04 | 2012-04-03 | Applied Materials, Inc. | Boron nitride and boron-nitride derived materials deposition method |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
KR101266135B1 (ko) | 2008-06-03 | 2013-05-27 | 도쿄엘렉트론가부시키가이샤 | 실리콘 함유 막의 저온 증착 |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8726838B2 (en) | 2010-03-31 | 2014-05-20 | Intermolecular, Inc. | Combinatorial plasma enhanced deposition and etch techniques |
JP2010103484A (ja) | 2008-09-29 | 2010-05-06 | Adeka Corp | 半導体デバイス、その製造装置及び製造方法 |
US20120153442A1 (en) | 2008-09-30 | 2012-06-21 | Tokyo Electron Limited | Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device |
KR101491726B1 (ko) | 2008-10-08 | 2015-02-17 | 주성엔지니어링(주) | 반도체 소자의 갭필 방법 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP5547418B2 (ja) | 2009-03-19 | 2014-07-16 | 株式会社Adeka | 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
US8415259B2 (en) | 2009-10-14 | 2013-04-09 | Asm Japan K.K. | Method of depositing dielectric film by modified PEALD method |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
JP5346904B2 (ja) | 2009-11-27 | 2013-11-20 | 東京エレクトロン株式会社 | 縦型成膜装置およびその使用方法 |
US8114761B2 (en) | 2009-11-30 | 2012-02-14 | Applied Materials, Inc. | Method for doping non-planar transistors |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
SG181670A1 (en) | 2009-12-30 | 2012-07-30 | Applied Materials Inc | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8937353B2 (en) | 2010-03-01 | 2015-01-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual epitaxial process for a finFET device |
US20130157466A1 (en) | 2010-03-25 | 2013-06-20 | Keith Fox | Silicon nitride films for semiconductor device applications |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
WO2011140355A2 (en) | 2010-05-07 | 2011-11-10 | Applied Materials, Inc. | Oxide nitride stack for backside reflector of solar cell |
WO2011162136A1 (en) | 2010-06-23 | 2011-12-29 | Tokyo Electron Limited | Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device |
KR20130044326A (ko) | 2010-07-19 | 2013-05-02 | 알이씨 실리콘 인코포레이티드 | 다결정 실리콘 제조 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
US20120149213A1 (en) | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
JP5689398B2 (ja) | 2010-12-21 | 2015-03-25 | 東京エレクトロン株式会社 | 窒化シリコン膜の成膜方法及び成膜装置 |
JP2012142386A (ja) | 2010-12-28 | 2012-07-26 | Elpida Memory Inc | 窒化膜の形成方法 |
US8465811B2 (en) | 2011-01-28 | 2013-06-18 | Asm Japan K.K. | Method of depositing film by atomic layer deposition with pulse-time-modulated plasma |
US8329599B2 (en) | 2011-02-18 | 2012-12-11 | Asm Japan K.K. | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen |
US8563443B2 (en) | 2011-02-18 | 2013-10-22 | Asm Japan K.K. | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen |
JP2012216631A (ja) * | 2011-03-31 | 2012-11-08 | Tokyo Electron Ltd | プラズマ窒化処理方法 |
US8580664B2 (en) | 2011-03-31 | 2013-11-12 | Tokyo Electron Limited | Method for forming ultra-shallow boron doping regions by solid phase diffusion |
TW201306082A (zh) | 2011-04-18 | 2013-02-01 | Tokyo Electron Ltd | 電漿評估方法、電漿處理方法及電漿處理裝置 |
JP5660205B2 (ja) * | 2011-04-25 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法 |
EP3929326A3 (en) | 2011-06-03 | 2022-03-16 | Versum Materials US, LLC | Compositions and processes for depositing carbon-doped silicon-containing films |
JP5722450B2 (ja) | 2011-08-25 | 2015-05-20 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体 |
US8476743B2 (en) | 2011-09-09 | 2013-07-02 | International Business Machines Corporation | C-rich carbon boron nitride dielectric films for use in electronic devices |
US8557666B2 (en) | 2011-09-13 | 2013-10-15 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits |
US8993072B2 (en) | 2011-09-27 | 2015-03-31 | Air Products And Chemicals, Inc. | Halogenated organoaminosilane precursors and methods for depositing films comprising same |
US8569184B2 (en) | 2011-09-30 | 2013-10-29 | Asm Japan K.K. | Method for forming single-phase multi-element film by PEALD |
JP6088178B2 (ja) | 2011-10-07 | 2017-03-01 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP6202798B2 (ja) | 2011-10-12 | 2017-09-27 | エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. | 酸化アンチモン膜の原子層堆積 |
TWI606136B (zh) | 2011-11-04 | 2017-11-21 | Asm國際股份有限公司 | 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 |
JP2013125762A (ja) | 2011-12-13 | 2013-06-24 | Tokyo Electron Ltd | 成膜装置、および成膜方法 |
US8698199B2 (en) | 2012-01-11 | 2014-04-15 | United Microelectronics Corp. | FinFET structure |
US8586487B2 (en) | 2012-01-18 | 2013-11-19 | Applied Materials, Inc. | Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5912637B2 (ja) | 2012-02-17 | 2016-04-27 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US20130224964A1 (en) | 2012-02-28 | 2013-08-29 | Asm Ip Holding B.V. | Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond |
US8912101B2 (en) | 2012-03-15 | 2014-12-16 | Asm Ip Holding B.V. | Method for forming Si-containing film using two precursors by ALD |
JP6105967B2 (ja) | 2012-03-21 | 2017-03-29 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
JP5208294B2 (ja) | 2012-04-23 | 2013-06-12 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法及び基板処理装置 |
US9337018B2 (en) | 2012-06-01 | 2016-05-10 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
US8722546B2 (en) | 2012-06-11 | 2014-05-13 | Asm Ip Holding B.V. | Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control |
US20140023794A1 (en) | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
JP2014060378A (ja) | 2012-08-23 | 2014-04-03 | Tokyo Electron Ltd | シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置 |
US8742668B2 (en) | 2012-09-05 | 2014-06-03 | Asm Ip Holdings B.V. | Method for stabilizing plasma ignition |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US8772101B2 (en) | 2012-11-08 | 2014-07-08 | Globalfoundries Inc. | Methods of forming replacement gate structures on semiconductor devices and the resulting device |
US8784951B2 (en) | 2012-11-16 | 2014-07-22 | Asm Ip Holding B.V. | Method for forming insulation film using non-halide precursor having four or more silicons |
JP2016509662A (ja) | 2012-12-21 | 2016-03-31 | アールイーシー シリコン インコーポレイテッド | 流動床反応器のための高温グレードの鋼 |
CN103915341B (zh) | 2013-01-08 | 2016-12-28 | 中芯国际集成电路制造(上海)有限公司 | 晶体管及其形成方法 |
US20140273526A1 (en) | 2013-03-12 | 2014-09-18 | David Thompson | Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives |
US10573511B2 (en) | 2013-03-13 | 2020-02-25 | Asm Ip Holding B.V. | Methods for forming silicon nitride thin films |
US20140273531A1 (en) | 2013-03-14 | 2014-09-18 | Asm Ip Holding B.V. | Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140273530A1 (en) | 2013-03-15 | 2014-09-18 | Victor Nguyen | Post-Deposition Treatment Methods For Silicon Nitride |
TW201441408A (zh) | 2013-03-15 | 2014-11-01 | Applied Materials Inc | 包含氮化矽之膜的電漿輔助原子層沉積 |
US9543140B2 (en) | 2013-10-16 | 2017-01-10 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US9576790B2 (en) | 2013-10-16 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US20150125628A1 (en) | 2013-11-06 | 2015-05-07 | Asm Ip Holding B.V. | Method of depositing thin film |
US9401273B2 (en) | 2013-12-11 | 2016-07-26 | Asm Ip Holding B.V. | Atomic layer deposition of silicon carbon nitride based materials |
US20150255324A1 (en) | 2014-03-06 | 2015-09-10 | Applied Materials, Inc. | Seamless gap-fill with spatial atomic layer deposition |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
CN108140578B (zh) | 2015-10-23 | 2022-07-08 | 应用材料公司 | 通过表面毒化处理的由下而上的间隙填充 |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
JP6584347B2 (ja) | 2016-03-02 | 2019-10-02 | 東京エレクトロン株式会社 | 成膜方法 |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US9960033B1 (en) | 2016-12-16 | 2018-05-01 | Asm Ip Holding B.V. | Method of depositing and etching Si-containing film |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11017997B2 (en) | 2017-01-13 | 2021-05-25 | Applied Materials, Inc. | Methods and apparatus for low temperature silicon nitride films |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US9984869B1 (en) | 2017-04-17 | 2018-05-29 | Asm Ip Holding B.V. | Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10763108B2 (en) | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11955331B2 (en) | 2018-02-20 | 2024-04-09 | Applied Materials, Inc. | Method of forming silicon nitride films using microwave plasma |
TWI821283B (zh) | 2018-04-29 | 2023-11-11 | 美商應用材料股份有限公司 | 沉積方法 |
US10580645B2 (en) | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
-
2015
- 2015-09-15 US US14/855,261 patent/US9576792B2/en active Active
- 2015-09-17 TW TW109143328A patent/TWI728941B/zh active
- 2015-09-17 TW TW104130705A patent/TWI707056B/zh active
- 2015-09-17 KR KR1020150131423A patent/KR102243442B1/ko active IP Right Grant
- 2015-09-17 TW TW109130304A patent/TWI716334B/zh active
- 2015-09-17 JP JP2015183621A patent/JP6752004B2/ja active Active
-
2017
- 2017-02-07 US US15/426,593 patent/US20170372886A1/en not_active Abandoned
- 2017-09-15 US US15/706,435 patent/US10262854B2/en active Active
-
2019
- 2019-04-11 US US16/381,634 patent/US10741386B2/en active Active
-
2020
- 2020-08-07 US US16/987,961 patent/US11367613B2/en active Active
- 2020-08-17 JP JP2020137421A patent/JP6919039B2/ja active Active
-
2021
- 2021-04-16 KR KR1020210049908A patent/KR102317181B1/ko active IP Right Grant
- 2021-07-20 JP JP2021119957A patent/JP7062817B2/ja active Active
- 2021-10-19 KR KR1020210139519A patent/KR102546225B1/ko active IP Right Grant
-
2022
- 2022-04-19 JP JP2022068713A patent/JP7158616B2/ja active Active
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050145177A1 (en) * | 2003-12-30 | 2005-07-07 | Mcswiney Michael | Method and apparatus for low temperature silicon nitride deposition |
JP2008517479A (ja) * | 2004-10-20 | 2008-05-22 | アプライド マテリアルズ インコーポレイテッド | SiN低温堆積法 |
US20110136347A1 (en) * | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
WO2013137115A1 (ja) * | 2012-03-15 | 2013-09-19 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
WO2014015232A1 (en) * | 2012-07-20 | 2014-01-23 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Organosilane precursors for ald/cvd silicon-containing film applications |
US8828866B1 (en) * | 2013-06-26 | 2014-09-09 | Applied Materials, Inc. | Methods for depositing a tantalum silicon nitride film |
Also Published As
Publication number | Publication date |
---|---|
US20180068844A1 (en) | 2018-03-08 |
JP2021180323A (ja) | 2021-11-18 |
JP6919039B2 (ja) | 2021-08-11 |
US20200365392A1 (en) | 2020-11-19 |
KR102546225B1 (ko) | 2023-06-21 |
JP7062817B2 (ja) | 2022-05-06 |
KR20210129625A (ko) | 2021-10-28 |
JP2020191473A (ja) | 2020-11-26 |
US10262854B2 (en) | 2019-04-16 |
JP6752004B2 (ja) | 2020-09-09 |
TWI728941B (zh) | 2021-05-21 |
US9576792B2 (en) | 2017-02-21 |
KR20160033057A (ko) | 2016-03-25 |
TWI707056B (zh) | 2020-10-11 |
KR20210045970A (ko) | 2021-04-27 |
JP7158616B2 (ja) | 2022-10-21 |
US20190295838A1 (en) | 2019-09-26 |
US20170372886A1 (en) | 2017-12-28 |
TW202115275A (zh) | 2021-04-16 |
TW202100793A (zh) | 2021-01-01 |
JP2016063232A (ja) | 2016-04-25 |
US10741386B2 (en) | 2020-08-11 |
US20160079054A1 (en) | 2016-03-17 |
US11367613B2 (en) | 2022-06-21 |
TW201617471A (zh) | 2016-05-16 |
KR102317181B1 (ko) | 2021-10-26 |
KR102243442B1 (ko) | 2021-04-23 |
TWI716334B (zh) | 2021-01-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7062817B2 (ja) | SiNの堆積 | |
JP7383106B2 (ja) | 低温でのSiNの堆積用Si前駆体 | |
TWI789733B (zh) | 在反應空間中在基板上形成氮化矽薄膜的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20220517 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20220517 |
|
A871 | Explanation of circumstances concerning accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A871 Effective date: 20220527 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20220726 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20220818 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220913 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20221011 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7158616 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |