JP7158616B2 - SiNの堆積 - Google Patents

SiNの堆積 Download PDF

Info

Publication number
JP7158616B2
JP7158616B2 JP2022068713A JP2022068713A JP7158616B2 JP 7158616 B2 JP7158616 B2 JP 7158616B2 JP 2022068713 A JP2022068713 A JP 2022068713A JP 2022068713 A JP2022068713 A JP 2022068713A JP 7158616 B2 JP7158616 B2 JP 7158616B2
Authority
JP
Japan
Prior art keywords
plasma
nitrogen
substrate
silicon
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022068713A
Other languages
English (en)
Other versions
JP2022089928A (ja
Inventor
シャン チェン
ヴィルヤミ ポレ
令子 山田
アンッティ ユハニ ニスカネン
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2022089928A publication Critical patent/JP2022089928A/ja
Application granted granted Critical
Publication of JP7158616B2 publication Critical patent/JP7158616B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner

Description

関連出願の参照本願は、2015年6月16日提出の“DEPOSITION OF SiN,”と題する米国特許仮出願第62/180,511号、及び2014年9月17日提出の“DEPOSITION OF SiN,”と題する米国特許仮出願第62/051,867号の優先権の利益を主張するものであり、このそれぞれは、その全体が参照により本明細書に援用される。
本開示は、一般的には、半導体デバイス製造の分野に関するものであり、より具体的には、窒化シリコン膜の低温堆積及び窒化シリコン膜の堆積に使用する前駆体に関するものである。
スペーサは、後続の処理ステップに対して保護するための構造として半導体製造に広く使用されている。例えば、ゲート電極の傍に形成される窒化物スペーサは、ドーピング又はインプラントステップ時に下にあるソース/ドレイン領域を保護するためのマスクとして使用されうる。
半導体デバイスシュリンクの物理的な幾何学形状として、ゲート電極スペーサは、より小さくなってきている。スペーサ幅は、高密度のゲート電極ラインに亘ってコンフォーマルに堆積されうる窒化物の厚さによって制限される。そのため、窒化物スペーサエッチング処理は、堆積される窒化物層の厚さに対するスペーサ幅の高い比を有することが好ましい。
現在の一般的なPEALD窒化シリコン処理は、トレンチ構造のような三次元構造上に堆積されるときに、異方性エッチングに苦しんでいる。言い換えれば、トレンチ又はフィン又は他の三次元構造の側壁に堆積される膜は、構成の上部領域の膜と比べて低い膜特性を表す。膜品質は、トレンチの上部又は構造化されたウェーハの平坦な領域上の対象となる用途に十分であるが、側壁又は他の水平でない又は垂直な表面では十分ではない。
図1A及び1Bは、スペーサ用途で使用されうる窒化シリコン膜の典型例を示す。膜は、本願で説明されるものではないPEALD処理を用いて400℃で堆積された。図1Aは、三次元表面に堆積された後であるが、HFによりエッチングされる前の膜を示す。エッチング処理は、その後、約60秒間0.5%HFにワークピースを浸漬することにより行われた。図1Bは、窒化シリコン膜の垂直部分の規模が、膜の水平部分の規模よりも大きいことを示す。膜厚は、ナノメートルで示されている。これらのような構造は、一般的にFinFETスペーサ用途のようなさらなる処理を切り抜けることができない。
一部の態様では、窒化シリコン膜を堆積する原子層堆積(ALD)方法を提供する。一部の実施形態では、ALD方法は、プラズマエンハンストALD方法又は熱ALD方法であってもよい。当該方法は、良好なステップカバレージ及びパターンローディング効果のような所望の品質を有すると共に、所望のエッチング特性を有する窒化シリコン膜の堆積を可能にする。一部の実施形態によれば、窒化シリコン膜は、三次元構造に堆積されるとき、垂直及び水平部分の両方に対する相対的に均一なエッチング速度を有する。このような三次元構造は、例えば、FinFET又は他の種類の複数ゲートFETを含んでもよいが、これに限定されない。一部の実施形態では、本開示の各種窒化シリコン膜は、希釈HF(0.5%)で分当たり約2-3nmの熱酸化物除去率の半分未満のエッチング速度を有する。
一部の実施形態では、反応チャンバにおける基板に窒化シリコン膜を形成する方法は、シリコン種が基板表面上に吸着するように、気相シリコン反応物質を反応空間に導入するステップと、余剰なシリコン反応物質を除去するステップと、吸着されたシリコン種を、シリコン前駆体からプラズマにより生成された反応種と接触するステップと、余剰な反応種及び反応副生成物を除去するステップと、を備える。これらのステップは、所望厚さの窒化シリコン膜を実現するために繰り返される。
一部の実施形態では、シリコン前駆体は、本明細書で説明されるように、式(1)-(8)の前駆体を含む。一部の実施形態では、シリコン前駆体は、HSiI、HSiI、HSiI、HSi、HSi、及びHSiIからなる群から選択される。一部の実施形態では、シリコン前駆体は、HSiIである。反応種は、例えば、水素、水素原子、水素プラズマ、水素ラジカル、N*ラジカル、NH*ラジカル又はNH*ラジカルを含んでもよい。一部の実施形態では、反応種は、N含有プラズマ又はNを含むプラズマを含んでもよい。一部の実施形態では、反応種は、N含有種を含むプラズマを含んでもよい。一部の実施形態では、反応種は、窒素原子及び/又はN*ラジカルを含んでもよい。
一部の実施形態では、窒化シリコン膜は、三次元構造上に堆積される。一部の実施形態では、窒化シリコン膜は、少なくとも約80%のステップカバレージ及びパターンローディング効果を示す。一部の実施形態では、当該構造は、側壁及び上部領域を含み、上部領域のウェットエッチング速度(wet etch rate)(WER)に対する側壁のウェットエッチング速度WERは、0.5%dHFで約3%未満である。一部の実施形態では、窒化シリコン膜のエッチング速度は、0.5%HF水溶液で約0.4nm/min未満である。
一部の実施形態では、窒化シリコン膜を堆積する方法は、1以上の三次元構成を含む基板を反応空間に搬入するステップと、シリコン種が基板の表面上に吸着されるように、反応空間内の基板をシリコン前駆体と接触するステップと、余剰なシリコン前駆体を反応空間からパージするステップと、反応空間内の基板の表面上に吸着されたシリコン種を窒素前駆体と接触するステップと、余剰な窒素前駆体の反応空間をパージするステップと、所望厚さの膜を生成するために前記ステップを繰り返すステップと、を備える。一部の実施形態では、膜は、三次元構成で約50%超のステップカバレージを有する。一部の実施形態では、窒化シリコン膜のウェットエッチング速度は、5%HF水溶液で約5nm/min未満である。一部の実施形態では、上面のエッチング速度に対する三次元構造の側壁における窒化シリコン膜のエッチング速度の比は、約4未満である。一部の実施形態では、ステップカバレージは、少なくとも約80%又は約90%である。
一部の実施形態では、基板に窒化シリコン膜を堆積する方法は、シリコン種が基板の表面上に吸着されるように、基板を気相シリコン前駆体に暴露するステップと、基板表面から余剰な前駆体及び反応副生成物を除去するためにパージガス及び/又は真空に基板の表面に吸着されたシリコン種を暴露するステップと、吸着されたシリコン種を、窒素プラズマにより生成された種と接触するステップと、基板表面及び基板表面の近傍から窒素を含むプラズマ及び反応副生成物を除去するためにパージガス及び/又は真空に基板を暴露するステップと、所望厚さの膜を生成するために前記ステップを繰り返すステップと、を備える。
一部の実施形態では、基板に窒化シリコン膜を堆積する方法は、シリコン種が基板の表面上に吸着されるように、基板を気相シリコン反応物質に暴露するステップと、基板表面から余剰な前駆体及び反応副生成物を除去するために基板をパージガス及び/又は真空に暴露するステップと、吸着されたシリコン種を窒素前駆体と接触するステップと、基板表面及び基板表面の近傍から余剰な窒素前駆体及び反応副生成物を除去するために基板をパージガス及び/又は真空に暴露するステップと、所望厚さの膜を生成するために前記ステップを繰り返すステップと、を備える。
一部の実施形態では、シリコン前駆体は、ヨウ素又は臭素を含む。一部の実施形態では、前記膜は、約50%超のステップカバレージを有する。一部の実施形態では、窒化シリコンのエッチング速度は、0.5%HF水溶液で約5nm/min未満である。一部の実施形態では、三次元構造の上面でのエッチング速度に対する三次元構造の側壁での窒化シリコンのエッチング速度の比は、約4未満である。
一態様では、本明細書に説明されるような方法により堆積される窒化シリコン薄膜は、プラズマ処理(トリートメント)に晒されうる。一部の実施形態では、プラズマ処理は、堆積された窒化シリコン薄膜を、水素種がない又は実質的にない窒素含有ガスから生成されたプラズマに暴露するステップを含む。一部の実施形態では、窒化シリコン膜は、複数の窒化シリコン堆積サイクルを用いて堆積されることができ、プラズマ処理は、所定のインターバルにおいて、各堆積サイクル後に、又は所望厚さの窒化シリコン膜が堆積された後に、適用されうる。
一部の実施形態では、反応空間内の基板に薄膜を形成する方法は、基板の表面上に吸着された第1のシリコン種を提供するために、基板を第1のハロゲン化シリコンと接触するステップと、基板に材料を堆積するために、表面に吸着された第1の種を含む基板を、第1のプラズマステップと接触するステップと、を含みうる。前記方法は、更に、窒素プラズマ処理を導入するステップを含んでもよく、ここで、窒素プラズマ処理は、薄膜を形成するために、表面に材料を含む基板を、水素含有種が実質的にない窒素含有種から形成された第2のプラズマと接触することを含む。一部の実施形態では、薄膜は、窒化シリコン膜である。
一部の実施形態では、反応空間内の基板にSiN薄膜を形成する方法は、基板の表面上に吸着された第1のシリコン種を提供するために、基板を第1のハロゲン化シリコンと接触するステップと、表面に吸着された第1のシリコン種を含む基板を、活性化した水素種を含む第1のプラズマと接触し、それにより、SiNを堆積するステップと、を含みうる。前記方法は、SiN薄膜を形成するために、窒素プラズマ処理を導入するステップを更に含んでもよく、ここで、窒素プラズマ処理は、SiNを含む基板を、水素含有種が実質的にない窒素含有ガスから形成された第2のプラズマと接触することを含む。一部の実施形態では、窒化シリコン堆積サイクルは、基板を第1のシリコン前駆体と接触するステップと、基板の表面に吸着された第1のシリコン種を第2の窒素前駆体と接触するステップと、を含む。一部の実施形態では、基板上の窒化シリコンは、例えば、2,3,4,5,10,25,50又は100回ごとの繰り返し後のような、各窒化シリコン堆積サイクル後、又は窒化シリコンサイクルの様々な繰り返しにおいて、第2のプラズマと接触されうる。
一部の実施形態では、第1のプラズマは、水素、水素原子、水素プラズマ、水素ラジカル、N*ラジカル、NH*ラジカル及びNH*ラジカルの少なくとも1つを含む。一部の実施形態では、第1のプラズマは、N含有プラズマ又はNを含むプラズマを含んでもよい。一部の実施形態では、第1のプラズマは、N含有種を含んでもよい。一部の実施形態では、第1のプラズマは、窒素原子及び/又はN*ラジカルを含んでもよい。
一部の実施形態では、第1のプラズマは、第1のパワーを用いて生成され、第2のプラズマは、第2のパワーを用いて生成される。第2のパワーは、第1のパワーよりも大きくてもよい。一部の実施形態では、第2のパワーは、第1のパワーの約100%から約900%である。一部の実施形態では、第2のパワーは、第1のパワーの約100%から約200%である。
一部の実施形態では、第2のパワーは、一部の実施形態では、第2のパワーは、第1のパワーの約50%から約100%である。一部の実施形態では、第1のパワーは、約50Wから約600Wである。一部の実施形態では、第1のパワーは、約150Wから約250Wであってもよい。一部の実施形態では、第2のパワーは、約100Wから約1000Wである。第2のパワーは、約150Wから約300Wであってもよい。
一部の実施形態では、基板を第1のプラズマと接触するステップは、窒素プラズマ処理の期間よりも長い期間で実行される。一部の実施形態では、窒素プラズマ処理の期間は、第1のプラズマステップの期間の約5%から約75%である。窒素プラズマ処理の期間は、第1のプラズマステップの期間の約20%から約50%であってもよい。
一部の実施形態では、薄膜を堆積する方法は、更に、窒素プラズマ処理を導入する前に、基板を、シリコン前駆体のような第1の前駆体に接触するステップと、基板の表面に吸着されたシリコン種のような種を、第1のプラズマに2回以上接触するステップと、を繰り返すステップを含んでもよい。一部の実施形態では、窒素プラズマ処理は、基板を第1の前駆体に接触するステップと、基板の表面に吸着された種を活性化した水素種と接触するステップと、を少なくとも25回繰り返した後に、導入される。一部の実施形態では、窒素プラズマ処理は、25回繰り返すごとに導入される。一部の実施形態では、窒素プラズマ処理は、50回繰り返すごとに導入される。一部の実施形態では、窒素プラズマ処理は、100回繰り返すごとに導入される。
SiN膜は、三次元構造上に形成されてもよい。一部の実施形態では、当該構造は、側壁及び上部領域を含み、ここで、上部領域上のSiN膜のウェットエッチング速度(WER)に対する側壁のSiN薄膜のウェットエッチング速度(WER)の比は、0.5%dHFで約1未満である。一部の実施形態では、当該比は、約0.75から約1.5であり、一部の実施形態では、約0.9から約1.1であってもよい。
一部の実施形態では、熱酸化膜のエッチング速度に対するSiN薄膜のエッチング速度のエッチング速度比は、0.5%HF水溶液で約0.5未満である。
一部の実施形態では、基板をハロゲン化シリコンと接触することは、ヨウ素を含む。一部の実施形態では、ハロゲン化シリコンは、塩素を含む。シリコン前駆体は、無機物であってもよい。一部の実施形態では、ハロゲン化シリコンは、SiIを含む。
一部の態様では、反応空間内の基板にSiN薄膜を堆積する方法は、基板の表面に吸着されたシリコン種を提供するために、基板をハロゲン化シリコンに暴露するステップと、基板に吸着されたシリコン種を含む基板を、第1の窒素含有プラズマ、及び第2の、異なるプラズマに暴露するステップと、を含みうる。一部の実施形態では、ハロゲン化シリコンは、ヨウ素を含む。一部の実施形態では、ハロゲン化シリコンは、塩素を含む。一部の実施形態では、ハロゲン化シリコンは、オクタクロロトリシランを含む。
一部の実施形態では、基板を、窒素含有プラズマ及び第2の異なるプラズマに暴露するステップは、水素ガス及び窒素ガスの少なくとも1つを用いて生成されたプラズマに基板を暴露することを含みうる。一部の実施形態では、基板を第1の窒素含有プラズマに暴露するステップは、シリコン種を、水素ガス及び窒素ガスの両方を用いて生成されたプラズマに暴露することを含みうる。
一部の実施形態では、基板は、更に、第1のプラズマ及び第2のプラズマの少なくとも1つとは異なる第3のプラズマに暴露されうる。第1、第2、及び第3のプラズマのうちの2つは、水素ガス及び窒素ガスの両方を用いて生成されたプラズマを含んでもよく、第1、第2、及び第3のプラズマのうちの1つは、水素ガスを用いて生成されたプラズマを含んでもよい。
一部の実施形態では、基板は、第1の期間に第1のプラズマに暴露され、基板は、第2の期間に第2のプラズマに暴露され、基板は、第3の期間に第3のプラズマに暴露され、第1の期間は、第2の期間よりも長い。第1の期間は、第2の期間よりも長くてもよい。一部の実施形態では、第2の期間は、第3の期間よりも長くてもよい。
一部の実施形態では、第1のプラズマ及び第3のプラズマのそれぞれは、水素ガス及び窒素ガスの両方を用いて生成されたプラズマを含みうる。一部の実施形態では、第2のプラズマは、水素ガスを用いて生成されたプラズマを含みうる。
薄膜を堆積する方法は、第1のプラズマと第2のプラズマとの間に反応空間から余剰な反応物質を除去するステップと、第2のプラズマと第3のプラズマとの間に反応空間から余剰な反応物質を除去するステップと、を含んでもよい。一部の実施形態では、第1のプラズマと第2のプラズマとの間、及び第2のプラズマと第3のプラズマとの間に反応空間から余剰な反応物質を除去するステップは、それぞれ、水素ガスを流すことを含みうる。一部の実施形態では、反応空間から余剰な反応物質を除去するステップ、第1のプラズマと第2のプラズマとの間の第1のパージステップは、窒素ガスの流速をランプダウン(ramping down)することを含みうる。一部の実施形態では、第2のプラズマと第3のプラズマとの間に反応空間から余剰な反応物質を除去するステップは、水素ガス及び窒素ガスを流すことを含む。一部の実施形態では、第2のプラズマと第3のプラズマとの間に反応空間から余剰な反応物質を除去するステップは、窒素ガスの流速をランプアップ(ramping up)することを含む。
一部の態様では、反応空間内の基板に薄膜を堆積する方法は、シリコン種が、基板の表面に吸着するように、基板をハロゲン化シリコンに暴露するステップと、基板を、窒素含有及び水素含有ガスを用いて生成された第1のプラズマに暴露するステップと、基板を、水素含有ガスを用いて生成された第2のプラズマに暴露するステップと、基板を、水素含有ガス及び窒素含有ガスを用いて生成された第3のプラズマに暴露するステップと、基板を、ハロゲン化シリコン、第1のプラズマ、第2のプラズマ及び第3のプラズマに暴露するステップを繰り返すステップと、を含みうる。一部の実施形態では、薄膜は、窒化シリコン薄膜である。一部の実施形態では、薄膜を堆積するステップは、追加の反応物質が実質的にない。
一部の実施形態では、第1のプラズマ及び第3のプラズマは、水素ガス及び窒素ガスを用いて生成される。一部の実施形態では、第2のプラズマは、水素ガスを用いて生成される。
薄膜を堆積する方法は、薄膜を堆積する方法は、基板を第1のプラズマに暴露するステップと第2のプラズマに暴露するステップとの間に反応空間から余剰な反応物質を除去するステップと、基板を第2のプラズマに暴露するステップと第3のプラズマに暴露するステップとの間に反応空間から余剰な反応物質を除去するステップと、を含んでもよい。一部の実施形態では、余剰な反応物質を除去するステップは、プラズマをオフすることを含んでもよい。一部の実施形態では、余剰な反応物質を除去するステップは、水素ガスを流すことを継続することを含んでもよい。薄膜を堆積する方法は、基板を第1のプラズマに暴露するステップと第2のプラズマに暴露するステップとの間に反応空間から余剰な反応物質を除去する間に、窒素ガスの流れをランプダウンすることを含んでもよい。薄膜を堆積する方法は、基板を第2のプラズマに暴露するステップと第3のプラズマに暴露するステップとの間に反応空間から余剰な反応物質を除去する間に、窒素ガスの流れをランプアップすることを含んでもよい。
一部の態様では、反応空間内の基板にSiN薄膜を形成する方法は、原子層堆積処理を用いて基板にSiNを堆積するステップと、堆積されたSiNに窒素プラズマ処理を導入するステップと、を含むことができ、窒素プラズマ処理は、SiNを含む基板を、水素含有種が実質的にない窒素含有ガスから形成された窒素プラズマと接触することを含む。一部の実施形態では、原子層堆積処理は、基板をシリコン前駆体と接触することを含む。一部の実施形態では、シリコン前駆体は、ヨウ素を含む。
一部の実施形態では、窒素プラズマ処理を導入するステップは、基板上のSiNを、水素含有種が実質的にないプラズマと接触することを含む。
一部の実施形態では、原子層堆積処理は、PEALD処理を含み、PEALD処理は、基板の表面に吸着された第1のシリコン種を提供するために、基板をハロゲン化シリコンと接触するステップと、表面に吸着された第1のシリコン種を含む基板を、活性化した水素種を含む第1のプラズマと接触するステップと、を含みうる。一部の実施形態では、ハロゲン化シリコンは、ヨウ素又は塩素を含みうる。一部の実施形態では、活性化した水素種は、水素、水素原子、水素プラズマ、水素ラジカル、N*ラジカル、NH*ラジカル及びNH*ラジカルの少なくとも1つを含みうる。一部の実施形態では、第1のプラズマは、N含有プラズマ又はNを含むプラズマを含んでもよい。一部の実施形態では、第1のプラズマは、N含有種を含むプラズマを含んでもよい。一部の実施形態では、第1のプラズマは、窒素原子及び/又はN*ラジカルを含んでもよい。一部の実施形態では、SiNを堆積するステップは、第1のパワーを用いて第1のプラズマを生成することを含み、窒素プラズマ処理を導入することは、第2のパワーを用いて窒素のプラズマを生成することを含み、第2のパワーは、第1のパワーよりも大きい。一部の実施形態では、基板をハロゲン化シリコン及び第1のプラズマと接触するステップは、窒素プラズマ処理を導入するステップの前に2回以上繰り返されうる。
一部の実施形態では、原子層堆積処理は、熱ALD処理を含む。
本発明は、例示することを意味し、本発明を限定するものではない、好ましい実施形態の詳細な説明及び添付の図面からよりよく理解されるであろう。
図1A及び1Bは、窒化シリコン膜上のエッチング処理の結果を示す。 図2は、本開示の一部の実施形態に係るALD処理による窒化シリコン膜を形成する方法の概要を示すフローチャートである。 図3は、本開示の一部の実施形態に係るPEALD処理による窒化シリコン膜を形成する方法の概要を示すフローチャートである。 図4は、本開示の一部の実施形態に係る熱ALD処理による窒化シリコン膜を形成する方法の概要を示すフローチャートである。 図5A-5Cは、本開示の一部の実施形態に係る堆積された各種窒化シリコン膜の電界放出形走査電子顕微鏡(FESEM)画像を示す。 図6A-6Cは、2分間のdHF浸漬への暴露の後の図5A‐5Bの窒化シリコン膜のFESEM画像を示す。 図7は、窒素プラズマ処理と組み合わせたPEALD処理による窒化シリコン膜を形成する方法の概要を示すフローチャートである。 図8は、窒素プラズマ処理と組み合わせたPEALD処理による窒化シリコン膜を形成する方法の別の例の概要を示すフローチャートである。 図9は、dHFに浸漬される時間の関数として、窒化シリコン膜のウェットエッチング速度、及び熱シリコン酸化膜と比較した窒化シリコン膜のウェットエッチング速度の比を示す。 図10は、窒化シリコン膜を堆積するための実験配置を示す。 図11は、窒化シリコン膜のウェットエッチング速度、熱窒化シリコン膜のウェットエッチング速度と比較したときの窒化シリコン膜のウェットエッチング速度比、及び基板の位置の関数としての窒化シリコン膜の厚さを示す。 図12は、窒化シリコン膜の上からの視点、及び各窒化シリコン膜に加えられる水素適用量を示す対応する概要を示すSEM画像である。 図13Aは、dHFに浸漬された時間の関数としての窒化シリコン膜の厚さを示す。図13Bは、熱窒化シリコン膜と比較した図12Aの窒化シリコン膜のウェットエッチング速度の比を示す。 図14Aは、窒化シリコン膜組成を示す。図14Bは、熱窒化シリコン膜と比較した図13Aの窒化シリコン膜のウェットエッチング速度比の性能を示す。 図15は、熱窒化シリコン膜と比較した窒化シリコン膜の水平表面、及び熱窒化シリコン膜の垂直表面と比較した窒化シリコン膜の垂直表面のウェットエッチング速度比の性能を示す。 図16は、PEALD処理による窒化シリコン膜を形成する方法の一例を概説するフローチャートであり、PEALD処理は、マルチ-ステッププラズマ暴露を含む。 図17は、PEALD処理による窒化シリコン膜を形成する方法の別の例を概説するフローチャートであり、PEALD処理は、マルチ-ステッププラズマ暴露を含む。 図18は、マルチ-ステッププラズマ暴露の一例のための時間の関数としてのガス流速及びプラズマパワーを示すグラフである。 図19Aは、マルチ-ステッププラズマ暴露を含むPEALD処理を用いて堆積されたSiN膜の一例の特性を示す表である。図19Bは、図19AのSiN膜を堆積する際に使用されるマルチ-ステッププラズマ暴露のいくつかの条件を挙げた表である。
窒化シリコン膜は、平面ロジック、DRAM及びNANDフラッシュデバイスのような当業者にとって明らかである広範囲の様々な用途を有する。より具体的には、エッチング態様を均一に表示するコンフォーマルな窒化シリコン膜は、半導体産業及び半導体産業以外の両方で広範囲の様々な用途を有する。本開示の一部の実施形態によれば、窒化シリコン膜、前駆体並びに原子層堆積(atomic layer deposition(ALD))によるこれらの膜を堆積するための様々な方法を提供する。重要なのは、一部の実施形態では、窒化シリコン膜は、三次元構造に堆積されたとき、垂直及び水平部の両方について相対的に均一なエッチング速度を有する。このような三次元構造は、例えば、FinFETS又は他の種類のマルチゲートFETsを含んでもよいが、これに限定されない。一部の実施形態では、本開示の各種窒化シリコン膜は、希釈HF(0.5%)による分当たり約2-3nmの熱酸化物除去速度の半分未満のエッチング速度を有する。
窒化シリコンを含む膜の層は、プラズマエンハンスト原子層堆積(plasma-enhanced atomic layer deposition(PEALD))型の処理又は熱ALD処理により堆積されうる。一部の実施形態では、窒化シリコン薄膜は、PEALDにより基板に堆積される。一部の実施形態では、窒化シリコン薄膜は、熱ALD処理により基板に堆積される。一部の実施形態では、窒化シリコン薄膜は、finFETデバイスの形成におけるフィン及び/又はspacer defined double patterning(SDDP)及び/又はspacer defined quadruple patterning(SDQP)の用途におけるフィンのような三次元構造に亘って堆積される。
窒化シリコン膜の組成式は、便宜上及び簡素化のためにSiNと本明細書では一般的に示される。しかし、当業者は、膜のSi:N比を示し、かつ水素又は他の不純物を除外する窒化シリコン膜の実際の組成式は、SiNで表され、ここで、いくつかのSi-N結合が形成される限り、xは約0.5から約2.0で変化することを理解するであろう。一部の場合には、xは約0.9から約1.7、約1.0から約1.5又は約1.2から約1.4まで変化してもよい。一部の実施形態では、窒化シリコンは、Siが+IVの酸化状態を有し、材料中の窒素の量が変化して形成される。
ALD型の処理は、一般的には、制御された、自己制限(self-limiting)表面反応に基づく。気相反応は、典型的には、基板を交互かつ順次反応物質と接触することにより防がれる。気相反応物質は、例えば、余剰な反応物質及び/又は反応パルス間の反応副生成物を除去することにより、反応チャンバ内で互いに隔てられる。反応物質は、パージ及び/又は真空の助けにより基板表面の近傍から除去されてもよい。一部の実施形態では、余剰な反応物質及び/又は反応副生成物は、例えば、不活性ガスをパージすることにより、反応空間から除去される。
本明細書で示される方法は、基板表面にSiN薄膜を堆積するために提供する。ALD型の処理の性質により、幾何学的に困難な用途でも可能となる。一部の実施形態によれば、ALD型の処理は、集積回路ワークピースのような基板上、一部の実施形態では、基板上の三次元構造上にSiN薄膜を形成するために使用される。一部の実施形態では、ALD型の処理は、基板をシリコン前駆体及び窒素前駆体と交互かつ順次接触することを含む。一部の実施形態では、シリコン前駆体は、このようなシリコン種が基板の表面に吸着するように、基板と接触する。一部の実施形態では、シリコン種は、シリコン前駆体と同一であってもよく、又は1以上のリガンドの損失による等の吸着ステップにおいて変性されてもよい。
図2は、一部の実施形態に係る、窒化シリコン薄膜を堆積するために使用されうる窒化シリコンALD堆積サイクルを概説するフローチャートである。特定の実施形態によれば、窒化シリコン薄膜は、複数の窒化シリコン堆積サイクルを含むALD型処理により基板に形成され、各窒化シリコン堆積サイクル200は、
(1)シリコン種が基板表面に吸着するように、基板をシリコン前駆体と接触すること210、
(2)基板を窒素前駆体と接触すること220、
(3)所望の厚さ及び組成の薄膜を実現するために要求される回数だけステップ210及び220を繰り返すこと、
を含む。
余剰な反応物質は、例えば、各接触するステップの後に、不活性ガスにより反応物質からパージすることにより、基板の近傍から除去されてもよい。以下の説明は、これらのステップのそれぞれをより詳細に特定する。
PEALD処理
一部の実施形態では、プラズマエンハンストALD(plasma enhanced ALD(PEALD))処理は、SiN膜を堆積するために使用される。簡単に言うと、基板又はワークピースは、反応チャンバに配置され、交互に繰り返される表面反応を受ける。一部の実施形態では、SiN薄膜は、自己制限ALDサイクルの繰り返しにより形成される。好ましくは、SiN膜を形成するために、各ALDサイクルは、少なくとも2つの異なる段階を含む。反応空間からの反応物質の提供及び除去は、一つの段階と見なされてもよい。第1の段階では、シリコンを含む第1の反応物質が提供され、基板表面上にわずかほぼ一つの単層を形成する。この反応物質は、本明細書において“シリコン前駆体”、“シリコン含有前駆体”又は“シリコン反応物質”とも呼ばれ、例えば、HSiIであってもよい。
第2の段階では、反応種を含む第2の反応物質が提供され、吸着されたシリコン種を窒化シリコンに変化させてもよい。一部の実施形態では、第2の反応物質は、窒素前駆体を含む。一部の実施形態では、反応種は、励起種を含む。一部の実施形態では、第2の反応物質は、窒素含有プラズマからの種を含む。一部の実施形態では、第2の反応物質は、窒素ラジカル、窒素原子及び/又は窒素プラズマを含む。一部の実施形態では、第2の反応物質は、N含有プラズマ又はNを含むプラズマを含んでもよい。一部の実施形態では、第2の反応物質は、N含有種を含むプラズマを含んでもよい。一部の実施形態では、第2の反応物質は、窒素原子及び/又はN*ラジカルを含んでもよい。第2の反応物質は、窒素前駆体ではない他の種を含んでもよい。一部の実施形態では、第2の反応物質は、水素のプラズマ、水素のラジカル又は何らかの形態の原子状水素を含んでもよい。一部の実施形態では、第2の反応物質は、例えば、ラジカル、プラズマ形態又は元素形態のような、He、Ne、Ar、Kr又はXeのような希ガス、好ましくはAr又はHeを含んでもよい。希ガスからのこれらの反応種は、堆積される膜への材料に寄与する必要はないが、一部の状況では、プラズマの形成及び点火の助けとともに、膜成長に寄与しうる。一部の実施形態では、プラズマを形成するために使用されるガスは、堆積処理を通じて一定に流れるてもよいが、間欠的に活性化されるのみでもよい。一部の実施形態では、第2の反応物質は、Arのような希ガスからの主を含まない。よって、一部の実施形態では、吸着されたシリコン前駆体は、Arからのプラズマにより生成された反応種と接触されない。
追加の段階が加えられてもよく、段階は、最終的な膜の組成を調整するために必要に応じて除去されてもよい。
反応物質の1以上は、Ar又はHeのようなキャリアガスの助けによって提供されてもよい。一部の実施形態では、シリコン前駆体及び第2の反応物質は、キャリアガスの助けによって提供される。
一部の実施形態では、2つの段階は、重複する又は組み合わせられてもよい。例えば、シリコン前駆体及び第2の反応物質は、部分的に又は完全に重複したパルスで同時に提供されてもよい。また、第1及び第2の段階並びに第1及び第2の反応物質として示されるが、段階の順序は、変更されてもよく、ALDサイクルは、段階のいずれか1つで開始してもよい。すなわち、特に特定しないかぎり、反応物質は、任意の順序で提供され、処理は、反応物質のいずれか1つで開始してもよい。
以下に詳細に説明されるように、窒化シリコン膜を堆積するための一部の実施形態では、1以上の堆積サイクルは、シリコン前駆体の提供で開始し、後に第2の前駆体が続く。別の実施形態では、堆積は、第2の前駆体の提供で開始し、後にシリコン前駆体が続いてもよい。
一部の実施形態では、半導体ワークピースのような堆積が望まれる基板は、リアクタに搬入される。リアクタは、集積回路の形成における様々な異なる処理が実行されるクラスタツールの一部であってもよい。一部の実施形態では、フロー型リアクタが使用される。一部の実施形態では、シャワーヘッド型のリアクタが使用される。一部の実施形態では、空間分割型リアクタ(space divided reactor)が使用される。一部の実施形態では、大量製造可能な枚葉式ALDリアクタが使用される。別の実施形態では、複数の基板を含むバッチリアクタが使用される。バッチリアクタが使用される実施形態については、基板の数は、10から200の範囲が好ましく、50から150の範囲がより好ましく、100から130の範囲が最も好ましい。
エンハンスALD処理のために特別に設計された、例示的な枚葉式リアクタは、商品名Pulsar(登録商標)2000及びPulsar(登録商標)3000でASM America,Inc.(アリゾナ州、フェニックス)及び商品名Eagle(登録商標)XP、XP8及びDragon(登録商標)で日本エー・エス・エム株式会社(日本国、東京)から商業的に取得可能である。エンハンスALD処理のために特別に設計された、例示的なバッチリアクタは、商品名A400(登録商標)及びA412(登録商標)でASM Europe B.V(オランダ国、アルメレ)から商業的に取得可能である。
一部の実施形態では、必要な場合、ワークピースの露出面は、ALD処理の第1の段階で反応するための反応サイトを提供するために前処理されうる。一部の実施形態では、別の前処理ステップは要求されない。一部の実施形態では、基板は、所望の表面終端を提供するために前処理される。一部の実施形態では、基板は、プラズマで前処理される。
余剰な反応物質及び反応副生成物は、もしあれば、基板の近傍、特に反応パルス間の基板表面から除去される。一部の実施形態では、反応チャンバは、例えば、不活性ガスでパージすることにより、反応パルス間にパージされる。各反応物質の流速及び時間は、除去するステップと同様に、調整可能であり、膜の品質及び様々な特性の制御を可能にする。
上述したように、一部の実施形態では、ガスは、各堆積サイクル時又は全体のALD処理時に連続的に反応チャンバに提供され、反応種は、反応チャンバ又は反応チャンバの上流のいずれかで、ガスのプラズマを生成することにより、提供される。一部の実施形態では、ガスは、窒素を含む。一部の実施形態では、ガスは、窒素である。他の実施形態では、ガスは、ヘリウム又はアルゴンを含んでもよい。一部の実施形態では、ガスは、ヘリウム又はアルゴンである。ガスを流すことは、第1及び/又は第2の反応物質(又は反応種)に対するパージガスとして機能してもよい。例えば、窒素を流すことは、第1のシリコン前駆体に対するパージガスとして機能してもよく、第2の反応物質として(反応種のソースとして)機能してもよい。一部の実施形態では、窒素、アルゴン又はヘリウムは、第1の前駆体に対するパージガス及びシリコン前駆体を窒化シリコン膜に変化させるための励起種のソースとして機能してもよい。一部の実施形態では、プラズマが生成されるガスは、アルゴンを含まず、吸着されたシリコン前駆体は、Arからのプラズマにより生成された反応種と接触されない。
サイクルは、所望厚さ及び組成が得られるまで繰り返される。一部の実施形態では、流速、流れる時間、及び/又は反応物質自体のような堆積パラメータは、所望の特性を有する膜を得るために、ALD処理時に1以上の堆積サイクルで変化されてもよい。一部の実施形態では、水素及び/又は水素プラズマは、堆積サイクル又は堆積処理において提供されない。
用語“パルス”は、所定長さの時間に反応チャンバに反応物質を供給することを含むように理解されてもよい。用語“パルス”は、パルスの長さ又は期間を限定せず、パルスは、任意の長さの時間でありうる。
一部の実施形態では、シリコン反応物質は、初めに提供される。初期表面終端の後、必要又は希望に応じて、第1のシリコン反応物質パルスは、ワークピースに供給される。一部の実施形態によれば、第1の反応物質パルスは、キャリアガス流及び対象となるワークピース表面と反応するHSiIのような揮発性シリコン種を含む。よって、シリコン反応物質は、これらのワークピース表面に吸着する。第1の反応物質パルスは、第1の反応物質パルスの余剰な成分が、この処理により形成される分子層と更に反応しないように、ワークピース表面で自己飽和する。
第1の反応物質パルスは、ガス状形態で供給されることが好ましい。シリコン前駆体ガスは、露出面を飽和するために十分な濃度でワークピースに種を輸送するための処理条件下で種が十分な蒸気圧を示す場合に、本明細書の目的のために“揮発性”であるとみなされる。
一部の実施形態では、シリコン反応物質パルスは、約0.05秒から約5.0秒、約0.1秒から約3秒又は約0.2から約1.0秒である。最適なパルス時間は、特定の状況に基づいて当業者により明示的に決定されうる。
一部の実施形態では、シリコン反応物質消費速度は、反応空間へ所望の量の前駆体を提供するために選択される。反応物質消費は、反応物質ソースボトルのような反応物質ソースから消費される反応物質の量を示し、堆積サイクルの特定の回数前後の反応物質ソースの重さを測り、サイクルの回数で重さの差を割ることにより、決定されうる。一部の実施形態では、シリコン反応物質消費は、約0.1mg/cycleを超える。一部の実施形態では、シリコン反応物質消費は、約0.1mg/cycleから約50mg/cycle、約0.5mg/cycleから約30mg/cycle、又は約2mg/cycleから約20mg/cycleである。一部の実施形態では、最小限の好ましいシリコン反応物質消費は、リアクタの熱した表面積のようなリアクタの大きさにより少なくとも部分的に規定されてもよい。一部の実施形態では、300mmシリコンウェーハのために設計されるシャワーヘッド型リアクタは、約0.5mg/cycle超又は約2.0mg/cycle超である。一部の実施形態では、シリコン反応物質消費は、300mmシリコンウェーハのために設計されるシャワーヘッド型リアクタにおいて約5mg/cycle超である。一部の実施形態では、シリコン反応物質消費は、300mmシリコンウェーハのために設計されるシャワーヘッド型リアクタにおいて、約400℃未満の反応温度で、約1mg/cycle超、好ましくは約5mg/cycleである。
基板表面に吸着するために分子層に対する十分な時間の後に、余剰な第1の反応物質は、その後、反応空間から除去される。一部の実施形態では、余剰な第1の反応物質は、第1の化学物質のフローを停止し、もしあれば、反応空間から余剰な反応物質及び反応副生成物を拡散又はパージするために十分な時間のキャリアガス又はパージガスの流れを継続することにより、パージされる。一部の実施形態では、余剰な第1の反応物質は、ALDサイクルを通じて流れている窒素又はアルゴンのような不活性ガスの助けによってパージされる。
一部の実施形態では、第1の反応物質は、約0.1秒から約10秒、約0.3秒から約5秒又は約0.3秒から約1秒パージされる。シリコン反応物質の提供及び除去は、ALDサイクルの第1の又はシリコン段階と見なされうる。
第2の段階では、窒素プラズマのような反応種を含む第2の反応物質は、ワークピースに提供される。窒素Nは、一部の実施形態では、各ALDサイクル時に反応チャンバへ連続的に流される。窒素プラズマは、反応チャンバ又は反応チャンバの上流に窒素のプラズマを生成することにより、例えば、窒素をリモートプラズマ発生器を通じて流すことにより、生成されてもよい。
一部の実施形態では、プラズマは、H及びNガスを流す際に生成される。一部の実施形態では、H及びNは、プラズマが点火される前に、又は窒素及び水素原子又はラジカルが形成される前に、反応チャンバに提供される。特定の理論に縛られるものではないが、水素は、リガンド除去ステップに有益な効果を有する、すなわち、残存するリガンドのいくつかを除去する又は膜品質上の他の有益な効果を有すると考えられる。一部の実施形態では、H及びNは、反応チャンバに連続的に提供され、窒素及び水素含有プラズマ、原子又はラジカルは、必要なときに生成又は供給される。
典型的には、例えば、窒素プラズマを含む第2の反応物質は、約0.1秒から約10秒で提供される。一部の実施形態では、窒素プラズマのような第2の反応物質は、約0.1秒から約10秒、約0.5秒から約5秒又は約0.5秒から約2.0秒で提供される。しかし、リアクタタイプ、基板タイプ及びその表面積に応じて、第2の反応物質をパルスする時間は、約10秒を超えてもよい。一部の実施形態では、パルス時間は、分のオーダーでありうる。最適なパルス時間は、特定状況に基づいて当業者により明示的に決定されうる。
一部の実施形態では、第2の反応物質は、2以上のパルスのいずれかの間に別の反応物質を導入することなく、2以上の異なるパルスで提供される。例えば、一部の実施形態では、窒素プラズマは、逐次的なパルス間でSi前駆体を導入することなく、2つ以上、好ましくは2つの、逐次的なパルスで提供される。一部の実施形態では、窒素プラズマ提供時に、2以上の逐次的なプラズマパルスは、第1の期間にプラズマ放電を提供し、例えば、約0.1秒から約10秒、約0.5秒から約5秒又は約1.0秒から約4.0秒の第2の時間にプラズマ放電を消し、別の前駆体の導入前又はSi前駆体等除去ステップの前又はパージステップの前の第3の時間に再び励起することにより生成される。追加のプラズマのパルスは、同様の手法で導入されうる。一部の実施形態では、プラズマは、パルスのそれぞれの等しい時間に点火される。
窒素プラズマは、一部の実施形態では、約10Wから約2000W、好ましくは約50Wから約1000W、より好ましくは約100Wから約500WのRFパワーを印加することにより生成されてもよい。一部の実施形態では、RFパワー密度は、約0.02W/cmから約2.0W/cm、好ましくは約0.05W/cmから約1.5W/cmであってもよい。RFパワーは、窒素プラズマパルス時間の間に流れる、反応チャンバを通じて連続的に流れる、及び/又はリモートプラズマ発生器を通じて流れる窒素に印加されてもよい。よって、一部の実施形態では、プラズマは、インサイチュで生成されるが、他の実施形態では、プラズマは、リモートで生成される。一部の実施形態では、シャワーヘッド型リアクタが使用され、プラズマは、サセプタ(その上に基板が配置される)とシャワーヘッドプレートとの間に生成される。一部の実施形態では、サセプタとシャワーヘッドプレートとの間のギャップは、約0.1cmから約20cm、約0.5cmから約5cm、又は約0.8から約3.0cmである。
完全に飽和し、以前に吸着された分子層を窒素プラズマパルスと反応するために十分な時間の後、余剰な反応物質及び反応副生成物は、反応空間から除去される。第1の反応物質の除去とともに、このステップは、反応種の生成を停止すること、及び反応空間外に拡散し、反応空間からパージされるために、余剰な反応種及び揮発性の反応副生成物に対して十分な時間で窒素又はアルゴンのような不活性ガスを流すことを継続することを含んでもよい。別の実施形態では、別のパージガスが使用されてもよい。パージは、一部の実施形態では、約0.1から約10秒、約0.1秒から約4秒又は約0.1秒から約0.5秒であってもよい。それと共に、窒素プラズマの提供及び除去は、窒化シリコン原子層堆積サイクルの第2の、反応種段階を示す。
第2の段階は、1以上のサイクルを共に示し、これは、所望の厚さの窒化シリコン薄膜を形成するために繰り返される。ALDサイクルは、本明細書において一般的に、シリコン段階を開始するものとして示されるが、他の実施形態では、サイクルは、反応種段階で開始してもよいと考えられる。当業者は、第1の前駆体段階が、以前のサイクルにおける最後の段階により残された終端と反応することを理解するであろう。よって、反応種段階が、第1のALDサイクルにおける第1の段階である場合に、基板表面上には以前に吸着された反応物質がない又は反応空間に反応物質が存在しないが、後続のサイクルでは、反応種段階は、シリコン段階に効果的に続くであろう。一部の実施形態では、1以上の異なるALDサイクルは、堆積処理において提供される。
本開示の一部の実施形態によれば、PEALD反応は、約25℃から約700℃、好ましくは約50℃から約600℃、より好ましくは約100℃から約450℃、最も好ましくは約200℃から約400℃の範囲の温度で行われてもよい。一部の実施形態では、最適なリアクタ温度は、熱履歴(thermal budget)を可能にする最大値により制限されてもよい。したがって、一部の実施形態では、反応温度は、約300℃から約400℃である。一部の用途では、最大温度は、約400℃付近であり、したがって、PEALD処理は、当該反応温度で実行される。
本開示の一部の実施形態によれば、処理中の反応チャンバの圧力は、約0.01torrから約50torr、好ましくは約0.1torrから約10torrで維持される。
Si前駆体
複数の適切なシリコン前駆体は、現在開示されたPEALD処理で使用されうる。シリコン前駆体の少なくともいくつかは、以下の一般式を有してもよい:
(1)H2n+2-y-zSi
ここで、n=1-10、y=1又はそれ以上(及び2n+2-zまで)、z=0又はそれ以上(及び2n+2-y)、XはI又はBr、AはX以外のハロゲンであり、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。
一部の実施形態によれば、シリコン前駆体は、1以上の環状化合物を含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(2)H2n-y-zSi
ここで、式(2)の化合物は、環状化合物であり、n=3-10、y=1又はそれ以上(及び2n-zまで)、z=0又はそれ以上(及び2n-yまで)、XはI又はBr、AはX以外のハロゲンであり、n=3-6であることが好ましい。
一部の実施形態によれば、シリコン前駆体は、1以上のヨードシランを含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(3)H2n+2-y-zSi
ここで、n=1-10、y=1又はそれ以上(及び2n+2-zまで)、z=0又はそれ以上(及び2n+2-yまで)、AはI以外のハロゲンであり、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。
一部の実施形態によれば、一部のシリコン前駆体は、1以上の環状ヨードシランを含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(4)H2n-y-zSi
ここで、式(4)の化合物は、環状化合物であり、n=3-10、y=1又はそれ以上(及び2n-zまで)、z=0又はそれ以上(及び2n-yまで)、AはI以外のハロゲンであり、n=3-6であることが好ましい。
一部の実施形態によれば、一部のシリコン前駆体は、1以上のブロモシランを含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(5)H2n+2-y-zSiBr
ここで、n=1-10、y=1又はそれ以上(及び2n+2-zまで)、z=0又はそれ以上(及び2n+2-yまで)、AはBr以外のハロゲンであり、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。
一部の実施形態によれば、一部のシリコン前駆体は、1以上の環状ブロモシランを含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(6)H2n-y-zSiBr
ここで、式(6)の化合物は、環状化合物であり、n=3-10、y=1又はそれ以上(及び2n-zまで)、z=0又はそれ以上(及び2n-yまで)、AはBr以外のハロゲンであり、n=3-6であることが好ましい。
一部の実施形態によれば、好ましいシリコン前駆体は、1以上のヨードシランを含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(7)H2n+2-ySi
ここで、n=1-5、y=1又はそれ以上(2n+2まで)、n=1-3であることが好ましく、n=1-2であることがより好ましい。
一部の実施形態によれば、好ましいシリコン前駆体は、1以上のブロモシランを含んでもよい。このような前駆体は、以下の一般式を有してもよい:
(8)H2n+2-ySiBr
ここで、n=1-5、y=1又はそれ以上(2n+2まで)、n=1-3であることが好ましく、n=1-2であることがより好ましい。
PEALD処理の一部の実施形態によれば、適切なシリコン前駆体は、一般式(1)から(8)のいずれか1つを有する1以上の化合物を含みうる。一般式(1)から(8)では、ハライド/ハロゲンは、F、Cl、Br及びIを含みうる。一部の実施形態では、シリコン前駆体は、SiI、HSiI、HSiI、HSiI、Si、HSi、HSi、HSi、HSi、HSiI,又はSiを含む。一部の実施形態では、シリコン前駆体は、HSiI、HSiI、HSiI、HSi、HSi,及びHSiIのうちの1つを含む。一部の実施形態では、シリコン前駆体は、HSiI、HSiI、HSiI、HSi、HSi、及びHSiI並びにその任意の組み合わせのうちの2、3、4、5又は6つを含む。
特定の実施形態では、Si前駆体は、HSiIである。
一部の実施形態では、式(9)-(28)のSi前駆体は、PEALD処理で使用されうる。
N前駆体
上述したように、本開示に係る第2の反応物質は、窒素前駆体を含んでもよい。一部の実施形態では、PEALD処理における第2の反応物質は、反応種を含んでもよい。適切なプラズマ組成物は、窒素プラズマ、窒素のラジカル又は何らかの形態の原子状窒素を含む。一部の実施形態では、反応種は、N含有プラズマ又はNを含むプラズマを含んでもよい。一部の実施形態では、反応種は、N含有種を含むプラズマを含んでもよい。一部の実施形態では、反応種は、窒素原子及び/又はN*ラジカルを含んでもよい。一部の実施形態では、水素プラズマ、水素のラジカル、又は何らかの形態の原子状窒素も提供される。一部の実施形態では、プラズマは、He、Ne、Ar、Kr及びXeのような希ガスも含んでもよく、ラジカルとしてのプラズマ形態又は原子形態でのAr又はHeであることが好ましい。一部の実施形態では、第2の反応物質は、Arのような希ガスからの任意の種を含まない。よって、一部の実施形態では、プラズマは、希ガスを含むガスで生成されない。
よって、一部の実施形態では、第2の反応物質は、NH及びN、N/H又はN-H結合を有する他の前駆体の混合物のようなN及びHの両方を有する化合物から形成されるプラズマを含んでもよい。一部の実施形態では、第2の反応物質は、Nから少なくとも部分的に形成されてもよい。一部の実施形態では、第2の反応物質は、N及びHから少なくとも部分的に形成されても良く、ここでN及びHは、約20:1から1:20、好ましくは約10:1から1:10、より好ましくは約5:1から1:5、最も好ましくは約1:2から4:1、一部の場合には1:1の流速比(N/H)で提供される。
第2の反応物質は、基板又は反応空間から離れたプラズマ放電(“リモートプラズマ”)を遠隔的に介した一部の実施形態で形成されてもよい。一部の実施形態では、第2の反応物質は、基板付近又は基板の直上で形成されてもよい(“ダイレクトプラズマ”)。
図3は、一部の実施形態に係る窒化シリコン膜を堆積するために使用されうる窒化シリコンPEALD堆積サイクルを概説するフローチャートである。特定の実施形態によれば、窒化シリコン薄膜は、複数の窒化シリコン堆積サイクルを含むPEALD型処理により基板上に形成され、各窒化シリコン堆積サイクル300は、
(1)シリコン種が基板表面に吸着するように、気化したシリコン前駆体を基板と接触すること310、
(2)反応空間に窒素前駆体を導入すること320、
(3)窒素前駆体から反応種を生成すること330、及び
(4)基板を反応種と接触し、それにより、吸着されたシリコン化合物を窒化シリコンに変えること340
を含む。
窒素は、吸着されたシリコン化合物を窒化シリコンに変えるために、適切な時間に形成された窒素プラズマにより、サイクルを通じて連続的に流れてもよい。
上述したように、一部の実施形態では、基板は、シリコン化合物及び反応種と同時に接触されてもよいが、別の実施形態では、これらの反応物は、別々に提供される。
接触するステップは、所望の厚さの薄膜及び組成が得られるまで繰り返される350。余剰反応物は、各接触するステップ、すなわち、ステップ310及び340の後に、反応空間からパージされてもよい。
一部の実施形態によれば、窒化シリコン薄膜は、FinFET用途のような三次元構造を有する基板にPEALD処理を用いて堆積される。当該処理は、以下のステップを含んでもよい:
(1)三次元構造を含む基板を反応空間に提供する、
(2)シリコン含有種が基板の表面に吸着されるように、SiIのようなシリコン含有前駆体を反応空間に導入する、
(3)余剰シリコン含有前駆体及び反応副生成物を反応空間から除去する、
(4)N、NH、N、又はN及びHのような窒素含有前駆体を反応空間に導入する、
(5)窒素前駆体から反応種を生成する、
(6)基板を反応種と接触する、及び
(7)余剰な窒素原子、プラズマ又はラジカル及び反応副生成物を除去する。
ステップ(2)から(7)は、所望の厚さの窒化シリコン膜が形成されるまで繰り返されてもよい。
一部の実施形態では、ステップ(5)及び(6)は、窒素原子、プラズマ又はラジカルが、リモートで形成され、反応空間に提供されるステップにより置き換えられる。
一部の実施形態では、PEALD処理は、約200℃から約400℃、約300℃から約400℃、又は約400℃の温度で行われる。
熱ALD処理
本明細書に示される方法は、熱ALD処理により基板表面上の窒化シリコン膜の堆積も可能にする。三次元構造のような幾何学的に難易度の高い用途もこれらの熱処理により可能である。一部の実施形態によれば、熱原子層堆積(ALD)型処理は、集積回路ワークピースのような基板上に窒化シリコン膜を形成するために使用される。
基板又はワークピースは、反応チャンバに配置され、自己制限表面反応を交互に繰り返し受ける。好ましくは、窒化シリコン膜を形成するために、各熱ALDサイクルは、少なくとも2つの異なる段階を含む。反応空間からの反応物質の提供及び除去は、一つの段階とみなされてもよい。第1の段階では、シリコンを含む第1の反応物質が提供され、基板表面上のわずか約1つの単層を形成する。この反応物質は、本明細書において“シリコン前駆体”又は“シリコン反応物質”とも呼ばれ、例えば、HSiIであってもよい。第2の段階では、窒素含有化合物を含む第2の反応物質が提供され、SiNを形成するために吸着されたシリコン前駆体と反応する。第2の反応物質は、“窒素前駆体”又は“窒素反応物質”とも呼ばれてもよい。第2の反応物質は、NH又は別の適切な窒素含有化合物を含んでもよい。別の段階が加えられてもよく、段階は、最終的な膜の組成を調整するために必要に応じて削除されてもよい。
反応物質の1以上は、Ar又はHeのようなキャリアガスの助けによって提供されてもよい。一部の実施形態では、シリコン前駆体及び窒素前駆体は、キャリアガスの助けによって提供される。
一部の実施形態では、段階のうちの2つは、重複又は組み合わせられてもよい。例えば、シリコン前駆体及び窒素前駆体は、部分的に又は完全に重複してパルス状で同時に提供されてもよい。また、第1及び第2の段階並びに第1及び第2の反応物質として示されるが、段階の順序及び反応物質を提供する順序は、変更されてもよく、ALDサイクルは、段階のいずれか1つ又は反応物質のいずれか1つで開始してもよい。すなわち、特に明記されていない限り、反応物質は、任意の順序で提供されることができ、処理は、任意の反応物質で提供されてもよい。
以下でより詳細に説明するように、窒化シリコン膜を堆積するための一部の実施形態では、1以上の堆積サイクルは、典型的には、シリコン前駆体の提供で開始し、その後に窒素前駆体を提供する。一部の実施形態では、1以上の堆積サイクルは、窒素前駆体の提供で開始し、その後にシリコン前駆体を提供する。
また、反応物質の1以上は、Ar又はHeのようなキャリアガスの助けによって提供されてもよい。一部の実施形態では、窒素前駆体は、キャリアガスの助けによって提供される。一部の実施形態では、第1の段階及び第2の段階並びに第1及び第2の反応物質と呼ばれるが、段階の順序及び反応物質の提供の順序は変更されてもよく、ALDサイクルは、段階のいずれか1つで開始してもよい。
一部の実施形態では、半導体ワークピースのような堆積しようとする基板は、リアクタに搬入される。リアクタは、集積回路の形成における様々な種類の異なる処理が行われるクラスタツールの一部であってもよい。一部の実施形態では、フロー型リアクタが使用される。一部の実施形態では、シャワーヘッド型リアクタが使用される。一部の実施形態では、空間分割型リアクタが使用される。一部の実施形態では、大容量製造可能な枚葉式ALDリアクタが使用される。別の実施形態では、複数の基板を含むバッチリアクタが使用される。バッチALDリアクタが使用される実施形態については、複数の基板は、10から200の範囲であることが好ましく、50から150の範囲がより好ましく、100から130の範囲が最も好ましい。
特にエンハンスALD処理に対して設計される例示的な枚葉式リアクタは、商品名Pulsar(登録商標)2000及びPulsar(登録商標)3000でASM America, Inc.(アリゾナ州フェニックス)、及び商品名Eagle(登録商標)XP、XP8及びDragon(登録商標)で日本エー・エス・エム株式会社(日本国、東京)から商業的に取得可能である。特にエンハンスALD処理に対して設計される例示的なバッチリアクタは、商品名A400(登録商標)及びA412(登録商標)でASM Europe B.V(オランダ国、アルメレ)から商業的に取得可能である。
一部の実施形態では、必要に応じて、ワークピースの露出された表面は、反応サイトを提供するために前処理されることができ、ALD処理の第1の段階で反応する。一部の実施形態では、別の前処理ステップは必要とされない。一部の実施形態では、基板は、所望の表面終端を提供するために前処理される。
一部の実施形態では、余剰反応物及び反応副生成物は、もしあれば、前駆体の付近、例えば、基板表面から、反応物質パルスと反応物質パルスとの間に除去される。一部の実施形態では、余剰反応物及び反応副生成物は、反応物質パルスと反応物質パルスとの間に、例えば、不活性ガスでパージすることにより、反応チャンバから除去される。各反応物質の流速及び時間は、パージステップと同様に調節可能であり、膜の品質及び特性を制御することが可能となる。一部の実施形態では、余剰反応物及び/又は反応副生成物を除去することは、基板を移動することを含む。
上述したように、一部の実施形態では、ガスは、各堆積サイクル時又はALD処理時全体に連続的に反応チャンバに提供される。別の実施形態では、ガスは、窒素、ヘリウム、又はアルゴンであってもよい。
ALDサイクルは、所望厚さ及び組成の膜が得られるまで繰り返される。一部の実施形態では、流速、流れる時間、パージ時間及び/又は前駆体自体のような堆積パラメータは、所望の特性を有する膜を得るために、ALD処理時の1以上の堆積サイクルで変更されてもよい。
用語“パルス”は、所定長さの時間に反応物質を反応チャンバに供給することを含むものとして理解されてもよい。用語“パルス”は、パルスの長さ又は間隔を制限するものではなく、パルスは、任意の長さの時間でありうる。
一部の実施形態では、シリコン前駆体が初めに提供される。初期表面終端の後、必要又は希望に応じて、第1のシリコン前駆体パルスは、ワークピースに提供される。一部の実施形態によれば、第1のパルスは、キャリアガス流、及び対象となるワークピース表面と反応するHSiIのような揮発性シリコン種を含む。したがって、シリコン前駆体は、ワークピース表面に吸着する。第1の前駆体パルスは、第1の前駆体パルスの余剰構成物が、この処理により形成される分子層と更に実質的な反応をしないように、ワークピース表面を自己飽和(self-saturate)する。
第1のシリコン前駆体パルスは、ガス状形態で供給されることが好ましい。シリコン前駆体ガスは、種が、十分な濃度でワークピースへ種を輸送するための処理条件下で十分な蒸気圧を示し、露出された表面を飽和する場合に、本明細書の目的のために“揮発性”とみなされる。
一部の実施形態では、シリコン前駆体パルスは、約0.05秒から約5.0秒、約0.1秒から約3秒、又は約0.2秒から約1.0秒である。バッチ処理では、シリコン前駆体パルスは、特定の状況が与えられた当業者によって決定されうるものと実質的に同じ長さでありうる。
基板表面に吸着するために分子層のための十分な時間の後、余剰な第1の前駆体は、その後、反応空間から除去される。一部の実施形態では、もしあれば、反応空間から余剰反応物及び反応副生成物を拡散又はパージするために十分な時間キャリアガス又はパージガスを流すことを継続しつつ、第1の前駆体の流れを停止することにより、余剰な第1の前駆体は、パージされる。
一部の実施形態では、第1の前駆体は、約0.1秒から約10秒、約0.3秒から約5秒、又は約0.3秒から約1秒パージされる。シリコン前駆体の提供及び除去は、ALDサイクルの第1又はシリコン段階とみなされうる。バッチ処理では、第1の前駆体パージは、特定の状況が与えられた当業者によって決定されうるものと実質的に同じ長さでありうる。
第2の、窒素前駆体は、基板表面と接触するために反応空間へパルスされる。窒素前駆体は、キャリアガスの助けによって提供されてもよい。窒素前駆体は、例えば、NH又はNであってもよい。窒素前駆体は、ガス状形態で供給されることも好ましい。窒素前駆体は、種が、十分な濃度でワークピースへ種を輸送するための処理条件下で十分な蒸気圧を示し、露出された表面を飽和する場合に、本明細書の目的のために“揮発性”とみなされる。
一部の実施形態では、窒素前駆体パルスは、約0.05秒から約5.0秒、約0.1秒から約3.0秒、又は約0.2秒から約1.0秒である。バッチ処理では、窒素前駆体パルスは、特定の状況が与えられた当業者によって決定されうるものと実質的に同じ長さでありうる。
基板表面に吸着するために分子層のための十分な時間の後、第2の、窒素前駆体は、その後、反応空間から除去される。一部の実施形態では、もしあれば、パージガスの約2倍超の反応チャンバ体積が好ましく、約3倍超の反応チャンバ体積が好ましい反応空間から、余剰反応物及び反応副生成物を拡散又はパージするために十分な時間キャリアガス又はパージガスを流すことを継続しつつ、第2の窒素前駆体の流れは停止される。窒素前駆体の提供及び除去は、ALDサイクルの第2の又は窒素段階とみなされうる。
一部の実施形態では、窒素前駆体は、約0.1秒から約10.0秒、約0.3秒から約5.0秒、又は約0.3秒から約1.0秒パージされる。バッチ処理では、第1の前駆体パージは、特定の状況が与えられた当業者によって決定されうるものと実質的に同じ長さでありうる。
窒素前駆体パルスの流速及び時間は、窒素段階の除去又はパージステップと同様に、窒化シリコン膜における所望の組成を実現するために調節可能である。基板表面上の窒素前駆体の吸着は、結合サイトの制限された数により、典型的には自己制限型であるが、パルスパラメータは、単層未満の窒素が1以上のサイクルで吸着されるように、調整されうる。
2つの段階は、1つのALDサイクルを共に示し、これは、所望の厚さの窒化シリコン薄膜を形成するために繰り返される。ALDサイクルが、シリコン段階で開始するとして本明細書において一般的に示されているが、他の実施形態では、サイクルは、窒素段階で開始してもよいと考えられる。第1の前駆体は、前のサイクルの最後の段階により残された終端と一般的に反応することが当業者によって理解されるであろう。一部の実施形態では、1以上の異なるALDサイクルは、堆積処理において提供される。
本開示の一部の実施形態によれば、ALD反応は、約25℃から約1000℃、好ましく約100℃から約800℃、より好ましくは約200℃から約650℃、最も好ましくは約300℃から約500℃の範囲の温度で行われてもよい。一部の実施形態では、最適なリアクタ温度は、熱履歴が可能な最大値により制限されてもよい。したがって、反応温度は、約300℃から約400℃でありうる。一部の用途では、最大温度は、約400℃付近であり、したがって、処理は、反応温度で実行される。
Si前駆体
複数の適切なシリコン前駆体は、熱ALD処理のような前記開示された熱処理で使用されてもよい。一部の実施形態では、これらの前駆体は、所望の品質(所望のWER、WERR、パターンローディング効果及び/又は以下に説明されるステップカバレージ構成のうちの少なくとも1つ)を有する膜が堆積されるプラズマALD処理でも使用されてもよい。
一部の実施形態によれば、一部のシリコン前駆体は、ヨウ素を含み、当該前駆体を用いることにより堆積される膜は、例えば、所望のWER、WERR、パターンローディング効果及び/又は以下に説明されるステップカバレージ構成のうちの少なくとも1つのような1以上の所望の特性を有する。
一部の実施形態によれば、1以上のシリコン前駆体は、臭素を含み、当該前駆体を用いることにより堆積される膜は、例えば、所望のWER、WERR、パターンローディング効果及び/又は以下に説明されるステップカバレージ構成のうちの少なくとも1つのような1以上の所望の特性を有する。
適切な前駆体の少なくともいくつかは、以下の一般式を有してもよい:
(9)H2n+2-y-z-wSi
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、1以上の環状化合物を含む。このような前駆体は、以下の一般式を含んでもよい:
(10)H2n-y-z-wSi
ここで、n=3-10、y=1又はそれ以上(及び2n-z-wまで)、z=0又はそれ以上(及び2n-y-wまで)、w=0又はそれ以上(及び2n-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、1以上のヨードシランを含む。このような前駆体は、以下の一般式を含んでもよい:
(11)H2n+2-y-z-wSi
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、AはI以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、1以上の環状ヨードシランを含む。このような前駆体は、以下の一般式を含んでもよい:
(12)H2n-y-z-wSi
ここで、n=3-10、y=1又はそれ以上(及び2n-z-wまで)、z=0又はそれ以上(及び2n-y-wまで)、w=0又はそれ以上(及び2n-y-zまで)、AはI以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、1以上のブロモシランを含む。このような前駆体は、以下の一般式を含んでもよい:
(13)H2n+2-y-z-wSiBr
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、AはBr以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、1以上の環状ブロモシランを含む。このような前駆体は、以下の一般式を含んでもよい:
(14)H2n-y-z-wSiBr
ここで、n=3-10、y=1又はそれ以上(及び2n-z-wまで)、z=0又はそれ以上(及び2n-y-wまで)、w=0又はそれ以上(及び2n-y-zまで)、AはBr以外のハロゲンであり、Rは有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、ヨウ素又は臭素が化合物中でシリコンと結合しない1以上のヨードシラン又はブロモシランを含む。よって、一部の適切な化合物は、ヨウ素/臭素置換アルキル基を有してもよい。このような前駆体は、以下の一般式を含んでもよい:
(15)H2n+2-y-z-wSiII
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、RIIはI又はBrを含む有機リガンドであり、かつI又はBr置換アルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、RIIは、ヨウ素置換C-Cアルキルリガンドである。
一部の実施形態によれば、一部のシリコン前駆体は、1以上の環状ヨードシラン又はブロモシランを含む。よって、一部の適切な環状化合物は、ヨウ素/臭素置換アルキル基を有してもよい。このような前駆体は、以下の一般式を含んでもよい:
(16)H2n-y-z-wSiII
ここで、n=3-10、y=0又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、RIIはI又はBrを含む有機リガンドであり、かつI又はBr置換アルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=3-6が好ましい。好ましくは、Rは、ヨウ素置換C-Cアルキルリガンドである。
一部の実施形態によれば、一部の適切なシリコン前駆体は、以下の一般式の1以上を含んでもよい:
(17)H2n+2-y-z-wSi(NR
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、R及びRは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R及びRは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、R及びRは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。
(18)(H3-y-z-w(NRSi)-N
ここで、y=1又はそれ以上(及び3-z-wまで)、z=0又はそれ以上(及び3-y-wまで)、w=1又はそれ以上(及び3-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、R及びRは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができる。好ましくは、R及びRは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、R及びRは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。3つのH3-y-z-w(NRSiリガンドのそれぞれは、互いに独立に選択されうる。
一部の実施形態では、一部の適切なシリコン前駆体は、以下のより具体的な式の1以上を含んでもよい:
(19)H2n+2-y-wSi(NR
ここで、n=1-10、y=1又はそれ以上(及び2n+2-wまで)、w=1又はそれ以上(及び2n+2-yまで)、Nは窒素であり、R及びRは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、R及びRは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、R及びRは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。
(20)(H3-y-w(NRSi)-N
ここで、y=1又はそれ以上(及び3-wまで)、w=1又はそれ以上(及び3-yまで)、Nは窒素であり、R及びRは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができる。好ましくは、R及びRは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、R及びRは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。3つのH3-y-w(NRSiリガンドのそれぞれは、互いに独立に選択されうる。
一部の実施形態によれば、一部の適切なシリコン前駆体は、以下の一般式の1以上を含んでもよい:
(21)H2n+2-y-z-wSi(NR
ここで、n=1-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=1又はそれ以上(及び2n+2-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、Rは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、Rは、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのようなC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。
(22)(H3-y-z-w(NRSi)-N
ここで、y=1又はそれ以上(及び3-z-wまで)、z=0又はそれ以上(及び3-y-wまで)、w=1又はそれ以上(及び3-y-zまで)、XはI又はBrであり、AはX以外のハロゲンであり、Nは窒素であり、Rは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、Rは、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのようなC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。
一部の実施形態では、一部の適切なシリコン前駆体は、以下のより具体的な式の1以上を含んでもよい:
(23)H2n+2-y-wSi(NR
ここで、n=1-10、y=1又はそれ以上(及び2n+2-wまで)、w=1又はそれ以上(及び2n+2-yまで)、Nは窒素であり、Rは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、Rは、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのようなC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。
(24)(H3-y-w(NRSi)-N
ここで、y=1又はそれ以上(及び3-wまで)、w=1又はそれ以上(及び3-yまで)、Nは窒素であり、Rは、水素、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、Rは、アルキル、置換アルキル、シリル、アルキルシリル及び不飽和炭化水素からなる群から独立に選択されることができ、n=1-5であることが好ましく、n=1-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル、イソプロピル、t‐ブチル、イソブチル、sec-ブチル及びn-ブチルのような水素又はC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのような水素又はC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。より好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。(NRリガンドのそれぞれは、互いに独立に選択されうる。
熱ALD処理の一部の実施形態によれば、適切なシリコン前駆体は、一般式(9)から(24)のうちのいずれか1つを有する少なくとも化合物を含みうる。一般式(9)から(18)と共に一般式(21)及び(22)では、ハライド/ハロゲンは、F、Cl、Br及びIを含みうる。
一部の実施形態では、シリコン前駆体は、以下、SiI、HSiI、HSiI、HSiI、Si、HSi、HSi、HSi、HSi、HSiI、Si、HSi、HSi、HSi、HSi、HSiI、MeSiI、MeSiI、MeSiI、MeSi、MeSi、MeSi、MeSi、MeSiI、HMeSiI、HMeSiI、HMeSi、HMeSi、HMeSi、HMeSiI、HMeSiI、HMeSi、HMeSi、HMeSiI、HMeSi、HMeSiI、HMeSiI、EtSiI、EtSiI、EtSiI、EtSi、EtSi、EtSi、EtSi、EtSiI、HEtSiI、HEtSiI、HEtSi、HEtSi、HEtSi、HEtSiI、HEtSiI、HEtSi、HEtSi、HEtSiI、HEtSi、HEtSiI,及びHEtSiIのうちの1以上を含む。
一部の実施形態では、シリコン前駆体は、以下、EtMeSiI2、EtMeSiI、EtMeSiI、EtMeSi、EtMeSi、EtMeSi、EtMeSi、EtMeSi、EtMeSi、EtMeSiI、EtMeSiI、EtMeSiI、EtMeSiI、HEtMeSiI、HEtMeSi、HEtMeSi、HEtMeSi、HEtMeSiI、HEtMeSiI、HEtMeSiI、HEtMeSi、HEtMeSiI、HEtMeSiI、HEtMeSiIのうちの1以上を含む。
一部の実施形態では、シリコン前駆体は、以下、HSiI、HSiI、HSiI、HSi、HSi、HSiI、MeSiI、MeSiI、MeSiI、MeSi、MeSi、HMeSiI、HMeSi、EtSiI、EtSiI、EtSiI、EtSi、EtSi、及びHEtSiIのうちの1以上を含む。一部の実施形態では、シリコン前駆体は、HSiI、HSiI、HSiI、HSi、HSi、HSiI、MeSiI、MeSiI、MeSiI、MeSi、MeSi、HMeSiI、HMeSi、EtSiI、EtSiI、EtSiI、EtSi、EtSi、及びHEtSiI、それらの任意の組み合わせを含むものから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15、16、17、18、19又はそれ以上の化合物を含む。特定の実施形態では、シリコン前駆体は、HSiIである。
一部の実施形態では、シリコン前駆体は、シリコンに結合される、3つのヨウ素及び1つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、シリコン前駆体は、以下、(SiI)NH、(SiI)NHMe、(SiI)NHEt、(SiI)NHPr、(SiI)NHBu、(SiI)NMe、(SiI)NMeEt、(SiI)NMePr、(SiI)NMeBu、(SiI)NEt、(SiI)NEtPr、(SiI)NEtBu、(SiI)NPr、(SiI)NPrBu、及び(SiI)NBuのうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiI)NH、(SiI)NHMe、(SiI)NHEt、(SiI)NHPr、(SiI)NHBu、(SiI)NMe、(SiI)NMeEt、(SiI)NMePr、(SiI)NMeBu、(SiI)NEt、(SiI)NEtPr、(SiI)NEtBu、(SiI)NPr、(SiI)NPrBu、(SiI)NBu、及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。一部の実施形態では、シリコン前駆体は、シリコンに結合される、2つのヨウ素及び2つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、シリコン前駆体は、以下、(SiI)(NH、(SiI)(NHMe)、(SiI)(NHEt)、(SiI)(NHPr)、(SiI)(NHBu)、(SiI)(NMe、(SiI)(NMeEt)、(SiI)(NMePr)、(SiI2)(NMeBu)、(SiI)(NEt、(SiI)(NEtPr)、(SiI)(NEtBu)、(SiI)(NPr、(SiI)(NPrBu),及び(SiI)(NBu)のうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiI)(NH、(SiI)(NHMe)、(SiI)(NHEt)、(SiI)(NHPr)、(SiI)(NHBu)、(SiI)(NMe、(SiI)(NMeEt)、(SiI)(NMePr)、(SiI2)(NMeBu)、(SiI)(NEt、(SiI)(NEtPr)、(SiI)(NEtBu)、(SiI)(NPr、(SiI)(NPrBu)、(SiI)(NBu)及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、シリコン前駆体は、シリコンに結合される、2つのヨウ素、1つの水素及び1つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、シリコン前駆体は、以下、(SiIH)NH、(SiIH)NHMe、(SiIH)NHEt、(SiIH)NHPr、(SiIH)NHBu、(SiIH)NMe、(SiIH)NMeEt、(SiIH)NMePr、(SiIH)NMeBu、(SiIH)NEt、(SiIH)NEtPr、(SiIH)NEtBu、(SiIH)NPr、(SiIH)N Bu,及び (SiIH)NBuのうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiIH)NH、(SiIH)NHMe、(SiIH)NHEt、(SiIH)NHPr、(SiIH)NHBu、(SiIH)NMe、(SiIH)NMeEt、(SiIH)NMePr、(SiIH)NMeBu、(SiIH)NEt、(SiIH)NEtPr、(SiIH)NEtBu、(SiIH)NPr、(SiIH)NPrBu、(SiIH)NBu及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、シリコン前駆体は、シリコンに結合される、1つのヨウ素、1つの水素及び2つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、シリコン前駆体は、以下、(SiIH)(NH、(SiIH)(NHMe)、(SiIH)(NHEt)、(SiIH)(NHPr)、(SiIH)(NHBu)、(SiIH)(NMe、(SiIH)(NMeEt)、(SiIH)(NMePr)、(SiIH)(NMeBu)、(SiIH)(NEt、(SiIH)(NEtPr)、(SiIH)(NEtBu)、(SiIH)(NPr、(SiIH)(NPrBu)及び (SiIH)(NBu)のうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiIH)(NH、(SiIH)(NHMe)、(SiIH)(NHEt)、(SiIH)(NHPr)、(SiIH)(NHBu)、(SiIH)(NMe、(SiIH)(NMeEt)、(SiIH)(NMePr)、(SiIH)(NMeBu)、(SiIH)(NEt、(SiIH)(NEtPr)、(SiIH)(NEtBu)、(SiIH)(NPr、(SiIH)(NPrBu)、及び(SiIH)(NBu)、及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、シリコン前駆体は、シリコンに結合される、1つのヨウ素、2つの水素及び1つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、シリコン前駆体は、(SiIH)NH、(SiIH)NHMe、(SiIH)NHEt、(SiIH)NHPr、(SiIH)NHBu、(SiIH)NMe、(SiIH)NMeEt、(SiIH)NMePr、(SiIH)NMeBu、(SiIH)NEt、(SiIH)NEtPr、(SiIH)NEtBu、(SiIH)NPr、(SiIH2)NPrBu及び(SiIH)NBuのうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiIH)NH、(SiIH)NHMe、(SiIH)NHEt、(SiIH)NHPr、(SiIH)NHBu、(SiIH)NMe、(SiIH)NMeEt、(SiIH)NMePr、(SiIH)NMeBu、(SiIH)NEt、(SiIH)NEtPr、(SiIH)NEtBu、(SiIH)NPr、(SiIH)NPrBu、(SiIH)NBu及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、シリコン前駆体は、シリコンに結合される、1つのヨウ素、及び3つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、シリコン前駆体は、(SiI)(NH、(SiI)(NHMe)、(SiI)(NHEt)、(SiI)(NHPr)、(SiI)(NHBu)、(SiI)(NMe、(SiI)(NMeEt)、(SiI)(NMePr)、(SiI)(NMeBu)、(SiI)(NEt、(SiI)(NEtPr)、(SiI)(NEtBu)、(SiI)(NPr、(SiI)(NPrBu)及び(SiI)(NBu)のうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiI)(NH、(SiI)(NHMe)、(SiI)(NHEt)、(SiI)(NHPr)、(SiI)(NHBu)、(SiI)(NMe、(SiI)(NMeEt)、(SiI)(NMePr)、(SiI)(NMeBu)、(SiI)(NEt、(SiI)(NEtPr)、(SiI)(NEtBu)、(SiI)(NPr、(SiI)(NPrBu)、(SiI)(NBu)及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
特定の実施形態では、シリコン前駆体は、シリコンに結合される、2つのヨウ素、1つの水素及び1つのアミン又はアルキルアミンリガンド又は2つのヨウ素及び2つのアルキルアミンリガンドを含み、アミン又はアルキルアミンリガンドは、アミンNH-、メチルアミンMeNH-、ジメチルアミンMeN-、エチルメチルアミンEtMeN-、エチルアミンEtNH-及びジエチルアミンEtN-から選択される。一部の実施形態では、シリコン前駆体は、以下、(SiIH)NH、(SiIH)NHMe、(SiIH)NHEt、(SiIH)NMe、(SiIH)NMeEt、(SiIH)NEt、(SiI)(NH、(SiI)(NHMe)、(SiI)(NHEt)、(SiI)(NMe、(SiI)(NMeEt)及び(SiI)(NEtのうちの1以上を含む。一部の実施形態では、シリコン前駆体は、(SiIH)NH、(SiIH)NHMe、(SiIH)NHEt、(SiIH)NMe、(SiIH)NMeEt、(SiIH)NEt、(SiI)(NH、(SiI)(NHMe)、(SiI)(NHEt)、(SiI)(NMe、(SiI)(NMeEt)、(SiI)(NEt及びそれらの組み合わせから選択された2、3、4、5、6、7、8、9、10、11、12又はそれ以上の化合物を含む。
I又はBrを含む他の種類のSi前駆体
ヨウ素又は臭素置換シラザン又は硫黄のような窒素を含む複数の適切なシリコン前駆体は、前述された熱及びプラズマALD処理で使用されてもよい。一部の実施形態では、ヨウ素又は臭素置換シラザン又は硫黄のような窒素を含む複数の適切なシリコン前駆体は、前述された熱及びプラズマALD処理で使用されてもよく、所望の品質、例えば、以下に説明される所望のWER、WERR、パターンローディング効果及び/又はステップカバレージ構成のうちの少なくとも1つを有する膜が堆積される。
適切なヨウ素又は臭素置換シリコン前駆体のうちの少なくともいくつかは、以下の一般式を有してもよい:
(25)H2n+2-y-z-wSi(EH)n-1
ここで、n=2-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、XはI又はBr、EはN又はS、好ましくはN、AはX以外のハロゲンであり、Rは、有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=2-5であることが好ましく、n=2-3であることがより好ましく、n=1-2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。
適切なヨウ素又は臭素置換シラザン前駆体のうちの少なくともいくつかは、以下の一般式を有してもよい:
(26)H2n+2-y-z-wSi(NH)n-1
ここで、n=2-10、y=1又はそれ以上(及び2n+2-z-wまで)、z=0又はそれ以上(及び2n+2-y-wまで)、w=0又はそれ以上(及び2n+2-y-zまで)、XはI又はBr、AはX以外のハロゲンであり、Rは、有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができ、n=2-5であることが好ましく、n=2-3であることがより好ましく、n=2であることが最も好ましい。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。
一部の実施形態では、シリコン前駆体は、I又はBrを含む複素環式Si化合物のようなSi化合物を含む。このような環状前駆体は、以下の部分構造を含んでもよい:
(27)-Si-E-Si-
ここで、EはN又はSであり、Nが好ましい。
一部の実施形態では、シリコン前駆体は、式(27)に係る部分構造を含み、この種の化合物の例は、例えば、ヨウ素又は臭素置換シクロトリシラザンのようなヨウ素又は臭素置換シクロシラザンである。
一部の実施形態では、シリコン前駆体は、I又はBrを含むシリルアミンベースの化合物のようなSi化合物を含む。このようなシリルアミンベースのSi前駆体は、以下の一般式を有してもよい:
(28)(H3-y-z-wSi)-N
ここで、y=1又はそれ以上(及び3-z-wまで)、z=0又はそれ以上(及び3-y-wまで)、w=0又はそれ以上(及び3-y-zまで)、XはI又はBr、AはX以外のハロゲンであり、Rは、有機リガンドであり、かつアルコキシド、アルキルシリル、アルキル、置換アルキル、アルキルアミン及び不飽和炭化水素からなる群から独立に選択されることができる。好ましくは、Rは、メチル、エチル、n‐プロピル又はイソプロピルのようなC-Cアルキル基である。3つのH3-y-z-wSiリガンドのそれぞれは、互いに独立に選択されうる。
N前駆体
一部の実施形態によれば、熱ALDにおける第2の反応物質又は窒素前駆体は、NH、N、又はN-H結合を有する任意の数の他の適切な窒素化合物であってもよい。
図4は、一部の実施形態に係る窒化シリコン薄膜を堆積するために使用されうる窒化シリコン熱ALD堆積サイクルを概説するフローチャートである。特定の実施形態によれば、窒化シリコン薄膜は、複数の窒化シリコン堆積サイクルを含むALD型処理により基板に形成され、各窒化シリコン堆積サイクル400は、
(1)シリコン化合物が基板表面に吸着するように、基板を気化したシリコン前駆体と接触すること410、
(2)余剰シリコン前駆体及び反応副生成物を除去すること420、
(3)基板を窒素前駆体と接触すること430、及び
(4)余剰窒素前駆体及び反応副生成物を除去すること440
を含む。
接触するステップは、所望の厚さ及び組成の薄膜が得られるまで繰り返される450。上述したように、一部の実施形態では、基板は、シリコン化合物及び窒素前駆体と同時に接触してもよいが、別の実施形態では、これらの反応物質は、別々に提供される。
一部の実施形態によれば、窒化シリコン薄膜は、FinFET用途のような三次元構造を有する基板上に熱ALD処理を用いて堆積される。当該処理は、以下のステップを含んでもよいが、この順序で行われる必要はない:
(1)基板を反応空間に搬入する、
(2)シリコン含有前駆体が基板の表面に吸着するように、HSiIのようなシリコン含有前駆体を反応空間に導入する、
(3)パージ等により、余剰なシリコン含有前駆体及び反応副生成物を除去する、
(4)シリコン含有前駆体を基板上のシリコン含有前駆体と反応するために、NH又はNのような窒素含有前駆体を反応空間に導入する、
(5)パージ等により、余剰な窒素含有前駆体及び反応副生成物を除去する、及び
(6)所望厚さの窒化シリコン膜が形成されるまでステップ(2)から(5)が繰り返される。
一部の実施形態では、ALD処理は、約100℃から約800℃、又は約200℃から約600℃、又は約300℃から約500℃の温度で行われる。一部の用途では、反応温度は、約400℃である。
SiN膜特性
本明細書で説明される実施形態の幾つかに係る窒化シリコン薄膜(シリコン前駆体が臭素又はヨウ素を含むかどうかに関わらず)は、約3at-%未満、好ましくは約1at-%未満、より好ましくは約0.5at-%未満、最も好ましくは約0.1at-%未満の不純物レベル又は濃度を実現してもよい。一部の薄膜では、水素を除く総不純物レベルは、約5at-%未満、好ましくは約2at-%未満、より好ましくは約1at-%未満、最も好ましくは約0.2at-%であってもよい。また、一部の薄膜では、水素の不純物レベルは、約30at-%未満、好ましくは約20at-%未満、より好ましくは約15at-%未満、最も好ましくは約10at-%であってもよい。
一部の実施形態では、堆積されるSiN膜は、相当量の炭素を含まない。しかし、一部の実施形態では、炭素を含むSiN膜が堆積される。例えば、一部の実施形態では、ALD反応は、炭素を含むシリコン前駆体を用いて行われ、炭素を含む窒化シリコン薄膜が堆積される。一部の実施形態では、炭素を含むSiN膜は、アルキル基又は他の炭素を含むリガンドを含む前駆体を用いて堆積される。一部の実施形態では、アルキル基を含む、式(9)-(28)のうちの1つのシリコン前駆体は、上述したように、炭素を含むSIN膜を堆積するために、PEALD又は熱ALD処理で使用される。Me又はEtのような異なるアルキル基又は他の炭素含有リガンドは、異なる反応メカニズムのために、膜の異なる炭素濃度を生じる。よって、異なる前駆体は、堆積されるSiN膜の異なる濃度を生じるために選択されうる。一部の実施形態では、炭素を含むSiN薄膜は、例えば、low-kスペーサとして使用されてもよい。一部の実施形態では、薄膜は、アルゴンを含まない。
図5A-5Bは、本開示に係る堆積された各種の窒化シリコン薄膜のFESEM画像を示す。膜型移籍された後、それらは、2分間HFに浸漬される(HF-dipped)。図6A-6Cは、dHF浸漬処理の後の同一の窒化シリコン膜を示す。均一なエッチングが観察されることができる。
一部の実施形態によれば、窒化シリコン薄膜は、約50%を超える、好ましくは約80%、より好ましくは約90%、最も好ましくは約95%を超えるステップカバレージ及びパターンローディング効果を示してもよい。一部の場合には、ステップカバレージ及びパターンローディング効果は、約98%を超えることができ、一部の場合には、(測定ツール又は方法の精度内で)100%になることができる。これらの値は、2を超えるアスペクト比、好ましくは3を超えるアスペクト比、より好ましくは5を超えるアスペクト比、最も好ましくは8を超えるアスペクト比、で実現されることができる。
本明細書で使用されるような“パターンローディング効果(pattern loading effect)”は、本技術分野におけるその通常の意味に従って用いられる。パターンローディング効果が不純物含有量、密度、電気特性及びエッチング速度に対して観察されるが、パターンローディング効果という用語を特に示さないかぎり、本明細書で使用されるときには、基板が存在する基板の領域における膜の厚さのばらつきをいう。よって、パターンローディング効果は、オープンフィールドに面する三次元構造/構成の側壁又は底部の膜厚に対する三次元構造の内側の構成の側壁又は底部における膜厚として与えられうる。本明細書で使用されるような、100%のパターンローディング効果(又は比率1)は、構成にかかわらず基板を通じてほぼ完全に均一な特性を示すつまり、言い換えれば、パターンローディング効果(例えば、オープンフィールドに対する構成における厚さのような特性の膜特性のばらつき)がない。
一部の実施形態では、窒化シリコン膜は、約3nmから約50nm、好ましくは約5nmから約30nm、より好ましくは約5nmから約20nmの厚さで堆積される。これらの厚さは、約100nm、好ましくは約50nm、より好ましくは約30nm、最も好ましくは約20nm、一部の場合には、約15nm未満の構成のサイズ(幅)で実現されうる。一部の実施形態によれば、SiN膜は、三次元構造で堆積され、側壁での厚さは、わずか10nmを超えてもよい。
一部の実施形態によれば、様々なウェットエッチング速度(wet etch rates(WER))を有する窒化シリコン膜が堆積されてもよい。0.5%dHF(nm/min)のブランケットWERを使用するとき、窒化シリコン膜は、約5未満、好ましくは約4未満、より好ましくは約2未満、最も好ましくは約1未満のWER値を有してもよい。一部の実施形態では、約0.3未満でありうる。
熱酸化のWERに対する0.5%dHF(nm/min)におけるブランケットWERは、約3未満、好ましくは約2未満、より好ましくは約1未満、最も好ましくは約0.5未満であってもよい。
一部の実施形態では、フィン又はトレンチのような三次元構造の上部領域WERに対するフィン又はトレンチのような三次元構造の側壁WERは、約4未満、好ましくは約3未満、より好ましくは約2未満、最も好ましくは約1未満であってもよい。
本開示の窒化シリコン薄膜を使用する際に、上部と側面との厚さの差は、改善された膜品質及びエッチング特性によって、一部の用途では重要ではない場合もある。それでもなお、一部の実施形態では、側壁に沿う厚さの勾配は、その後の用途又は処理に対して非常に重要な場合もある。
一部の実施形態では、本開示に係る窒化シリコン膜のエッチング量は、0.5%HF浸漬処理において熱SiO(TOX)について観察されたエッチング量の約1又は2倍未満であってもよい(例えば、約2から約3nmのTOXが除去される処理で、本明細書で開示される方法に従って堆積されるとき、1又は2倍未満のSiNが除去される)。好ましいい窒化シリコン膜のWERは、熱酸化膜の前のもの未満であってもよい。
SiN膜の使用のための具体的な量
本明細書で説明される方法及び材料は、水平ソース/ドレイン(S/D)及びゲート面を有する従来の横方向のトランジスタ設計についての向上した品質及び改善されたエッチング速度を有する膜を提供しうるだけでなく、水平でない(例えば、垂直)面で、及び複雑な三次元(3D)構造で使用するための改善されたSiN膜も提供しうる。特定の実施形態では、SiN膜は、集積回路製造時に三次元構造で開示される方法により堆積される。三次元トランジスタは、例えば、ダブルゲートフィールドエフェクトトランジスタ(DG FET)、及びFinFETを含む他の種類の複数ゲートFETを含んでもよい。例えば、本開示の窒化シリコン薄膜は、FinFETのような非平面複数ゲートトランジスタで有益であってもよく、ゲート、ソース及びドレイン領域の上に追加して、垂直壁にシリサイドを形成することが望ましい。
本明細書で教示されるSiN堆積技術についての別の3D構造は、Shifrenらによる米国特許公開第2009/0315120A1号で教示される3D高架ソース/ドレイン構造(3D elevated source/drain structure)で特に有益であり、その開示はその全体が参照により本明細書に援用される。Shifrenらは、垂直側壁を含む高架ソース/ドレイン構造を教示している。
実施例1
窒化シリコン薄膜は、シラン前駆体としてHSiIを用い窒素前駆体としてH+Nプラズマを用いたPEALD処理により、本開示に従って400℃で堆積された。この膜は、両方のALD反応タイプの最良の品質のいくつかの組み合わせを示した:典型的に高品質なPEALD SiN膜及び熱ALD膜の等方性エッチング作用。これらの結果は完全に解明されていないが、膜の特性及びエッチング作用は、それにもかかわらず、高品質スペーサ層の用途のためのスペック内にある。
この用途のために、アスペクト比が2のトレンチ構造でのステップカバレージ及びパターンローディング効果は、95%を超えるべきであり、ウェットエッチング速度(WER)は、熱酸化シリコン(SiO,TOX)のWERの50%未満であるべきであり、エッチング速度は、トレンチ構造の水平及び垂直壁でほぼ同じになるべきである。最後に、成長速度は、0.5nm/minを超えるべきであり、不純物量はできるだけ少なくするべきである。
400℃における膜成長速度は、0.52Å/cycleであり、膜の不均一性は6.2%(1-σ)であった。屈折率は、0.7%(1-σ)の膜の不均一性で2.04であった。一分当たりの成長速度は、最適化されていないが、0.13nm/minであった。
平坦な膜のウェットエッチング速度は、1.13nm/minuteであり、これは、ToxのWERの46.7%であった(2.43nm/min)。トレンチ構造では、膜のコンフォーマリティは、約91.0から約93.1%であり、パターンローディング効果は、堆積されたときの約95.7から99.3%であった(エッチング前)。2分間の希釈(0.5%)HFエッチングの後、コンフォーマリティ値は、約91.5から約94.6%であり、パターンローディング効果は、約97.4から約99.5%であった。トレンチの上部領域のウェットエッチング速度は、A 4.32nm/min、トレンチ側壁ではB 2.98nm/min、及びトレンチ底部ではC 3.03nm/minであった。フィールド領域は、D 2.63nm/minのエッチング速度を示した。
特定の理論に縛られることはないが、ヨウ素又は臭素のリガンド除去ステップは、プラズマ放電前に完了していることが有効であると考えられる。それは、残りのリガンドを分解らふせぎ、不純物として膜に再度侵入することを防ぎ、ハロゲン化物の場合には、プラズマ活性化したハロゲン化物の形成も防がれる。
本開示に係る、堆積された窒化シリコン薄膜の組成は、HFS-RBSによって分析された。その結果が以下の表1に示される。また、XXRデータが同一の膜から得られた。膜の厚さは、約117nmであった。質量密度は、2.63(±0.1)g/cmであった。また、表面のRMS粗さは、1.76(±0.1)nmであった。
Figure 0007158616000001
実施例2
改善されたエッチング特性及び不純物量(実施例1との比較)を有する窒化シリコン薄膜は、本開示に係るPEALD処理によりダイレクトプラズマALDシャワーヘッド型リアクタで堆積された。200℃及び400℃のサセプタ温度が使用された。HSiIは、シリコン前駆体として使用され、H+Nプラズマは、窒素前駆体はとして使用された。プラズマパワーは、約200Wから約220Wであり、シャワーヘッドプレートとサセプタとの間のギャップ(すなわち、プラズマが生成されるスペース)は、10mmであったプラズマはArを含まなかった。窒素は、キャリアガスとして使用され、堆積処理を通じて流された。HSiI消費量は、約9.0mg/cycleであった。
400℃では、膜の成長速度は、0.7Å/cycleであり、堆積された膜は、コンフォーマルであった。屈折率は1.92-1.93であった。100:1 dHFにおける平坦な膜のウェットエッチング速度(WER)は、熱酸化物(SiO)のWERの約20から30%であった。トレンチ構造では、トレンチ上部に対するトレンチ側壁の膜のウェットエッチング速度比は、約0.8から約1.0で変化した。
200℃で堆積された窒化シリコン薄膜の不純物量は、TXRFによって分析された。cm当たり8.43×1012のヨウ素原子を含む膜は、H+Nプラズマに加えて、Arを含むプラズマを用いて堆積される膜の不純物量(cm当たり1.418×1013のヨウ素原子)よりも僅かに少ない。また、Arを含むプラズマを用いて400℃で堆積された膜は、TXRF分析によって証明されるように、不純物としてArを有していた(cm当たり8.067×1013アルゴン原子)。特定の理論に縛られることはないが、アルゴンが膜内にトラップされ、アルゴンを含まないプラズマを用いることにより、これを防ぐことができると考えられる。
プラズマ処理
本明細書で説明されるように、プラスマ処理ステップは、膜特性を向上するために、様々な材料の形成に使用されてもよい。特に、例えば、窒素プラズマを用いるようなプラズマ高密度化ステップは、SiN膜のような窒化膜の特性を向上してもよい。一部の実施形態では、SiN膜を形成するための処理は、SiNを堆積し、堆積されたSiNをプラズマ処理で処理することを含む。一部の実施形態では、SiNは、熱ALD処理により堆積され、次に、プラズマ処理を受ける。例えば、SiNは、シリコン種が基板の表面に吸着されるように、基板がシリコン前駆体と接触される第1の段階と、基板の表面に吸着されたシリコン種が窒素前駆体と接触する第2の段階と、を含む複数の堆積サイクルを有する熱ALD処理により堆積されてもよい。本明細書で説明されるように、熱ALD処理により堆積されるSiNは、例えば、エッチング堆積サイクルの後、堆積処理時のインターバル、又はSiN堆積処理の完了に続いてプラズマ処理を受けてもよい。一部の実施形態では、SiNは、PEALD処理により堆積される。一部の実施形態では、PEALD堆積処理は、第1の段階と、第2の段階とを含む。例えば、SiN PEALD処理の第1の段階は、シリコン種が、対象となる基板の表面に吸着されるように、対象となる基板をシリコン前駆体と接触することを含んでもよく、SiN PEALD処理の第2の段階は、SiNを形成するために、対象となる基板の表面に吸着されたシリコン種を、窒素を含むプラズマと接触することを含んでもよい。堆積処理のこの部分では、プラズマは、水素イオンを含んでもよい。例えば、PEALD窒化シリコン堆積サイクルは、対象となる基板を、本明細書で説明されるもののようなシリコン前駆体及び例えば、窒素のプラズマのような活性化した窒素前駆体、及び水素ガスと接触することを含んでもよい。対象となる基板は、このステップにおいて、活性化した水素含有種(例えば、H及び/又はH イオン)に暴露されてもよく、これは、例えば、表面反応をしやすくする。しかし、窒化シリコン膜を活性化した水素含有種に暴露することは、窒化シリコン膜の堆積を容易にする(例えば、窒化シリコン膜のコンフォーマルな堆積のための1以上の表面反応を容易にする)が、このような暴露は、膜の剥離及び/又は窒化シリコン膜におけるブリスター欠陥のような1以上の欠陥の形成をもたらす場合があることがわかっている。よって、一部の実施形態では、PEALD窒化シリコン堆積サイクルにおける第1のプラズマステップ(窒素プラズマ前駆体ステップともいう)は、大きな欠陥形成又は剥離を防ぐために十分低いプラズマパワー及び十分短い時間で行われる。
一部の実施形態では、PEALDによりSiNの堆積に続いて、プラズマ処理ステップが実行される。第2のプラズマ処理ステップは、各PEALDサイクルの後、SiN堆積時のインターバル、又はPEALD SiN堆積処理が完了した後に実行されてもよい。第2のプラズマ処理ステップは、窒素プラズマ処理ステップであってもよい。第2のプラズマ処理ステップは、例えば、堆積されたSiN膜の高密度化又は他の膜特性の改善をもたらしてもよい。よって、第2の窒素プラズマ処理ステップは、高密度化ステップとも呼ばれてもよい。プラズマパワー及び/又は時間は、以下により詳細に説明されるように、第1の窒素プラズマ前駆体ステップよりも、高密度化ステップ(第2の窒素プラズマ処理ステップ)のほうが大きくてもよい。重要なのは、第2の窒素プラズマ処理ステップは、H又はH のような活性化した水素種の提供を含まないことである。高密度化ステップは、以下により詳細に説明されるように、PEALD処理の各サイクルの後、又はPEALD堆積処理の各種インターバルの後に、行われてもよい。
よって、一部の実施形態では、1以上の窒化シリコン膜堆積サイクルに続いて窒素プラズマ処理が行われる。窒素プラズマ処理を用いることは、窒化シリコン膜の剥離等の欠陥の形成及び/又は窒化シリコン膜のブリスター欠陥の形成を低減又は抑制しつつ、特定の所望の特性を有する窒化シリコン膜の形成を容易にする。窒素プラズマ処理を用いることは、所望のエッチング速度(例えば、希釈HFにおけるウェットエッチング速度)のような所望の特性を示す膜を得て、膜に大きな欠陥を導入せずに、窒化シリコン膜のコンフォーマルな堆積のための窒化シリコン膜の堆積における活性化した水素含有種の使用を可能にする。特定の理論又は動作のモードに制限されることはないが、窒素プラズマ処理の適用は、窒化シリコン膜堆積サイクルにより形成される窒化シリコン膜の密度を増加させてもよい。一部の実施形態では、窒素プラズマ処理の適用は、増加したウェットエッチング耐性を示す窒化シリコン膜の形成を容易にしうる(例えば、窒素プラズマ処理なしの場合に形成される窒化シリコン膜との比較。上部層は、容易に酸化され、熱酸化シリコンのものと同様のWERRを示す。)。一部の実施形態では、窒素プラズマ処理の適用は、3D構成上の垂直表面に対する水平表面の増加したエッチング速度の均一性、減少したウェットエッチング速度(WER)、及び/又は熱酸化(TOX)に対する減少したウェットエッチング速度比(WERR)を有する窒化シリコン膜の形成を容易にしうる。
一部の実施形態では、本明細書で説明される1以上の処理に係る3D構成に形成される窒化シリコン薄膜は、0.5%dHFで約1未満の3D構成の上部領域での窒化シリコン薄膜のウェットエッチング速度(WER)に対する、3D構成の側壁の窒化シリコン薄膜のウェットエッチング速度(WER)の比を示しうる。一部の実施形態では、比は、0.5% dHFで約0.75から約1.5であり、一部の実施形態では、約0.9から約1.1であってもよい。
一部の実施形態では、窒素プラズマ処理を用いることは、犠牲層、FiNFETのような最先端の半導体装置におけるゲートスペーサ及び/又はspacer defined double/quadruple patterning(SDDP/SDQP)及び他のマルチゲートトランジスタのような用途において有益な窒化シリコン膜の形成を容易にする。
本明細書で説明される実施形態は、窒化シリコン膜のPEALD堆積について言及しているが、他の堆積技術も適用可能であること(例えば、熱ALD、及び/又はラジカルエンハンストALD)が理解されるであろう。更に、窒素プラズマ処理は、他の材料の堆積に提供されてもよい(例えば、金属材料、誘電体材料及び/又は窒化チタン(TiN)のような他の窒化材料)。
図7は、一部の実施形態に係る、窒化シリコンPEALD堆積処理760に続いて窒素プラズマ処理740を含む窒化シリコン膜形成処理の一例を概説するフローチャート700である。本明細書で説明されるように、窒化シリコン膜堆積処理760は、対象となる基板を1以上のシリコン前駆体と接触すること710(例えば、シリコン前駆体ステップ)、及び、それに続いて、対象となる基板を1以上の窒素前駆体に接触すること720(例えば、窒素前駆体ステップ)の1以上のサイクル730を含みうる。一部の実施形態では、窒素前駆体は、活性化した水素種を含んでもよい窒素プラズマである。
対象となる基板を窒素プラズマ処理に暴露すること740は、対象となる基板を1以上のシリコン前駆体と接触すること710と、対象となる基板を1以上の窒素前駆体と接触すること720との1以上のサイクル730に続きうる。窒素プラズマ処理740は、各堆積サイクル730後、又は例えば、2、3、4、5、6、7等のサイクルごとの堆積処理を通じて間欠的に、実行されうる。
一部の実施形態では、窒化シリコン膜堆積処理760の後に、対象となる基板を窒素プラズマ処理に暴露する740が行われ、当該処理は、複数回繰り返される750。例えば、完了した窒化シリコン膜形成処理770は、対象となる基板を1以上のシリコン前駆体と接触すること710、対象となる基板を1以上の窒素前駆体と接触すること720、及び対象となる基板を窒素プラズマ処理740に暴露すること740の複数のサイクルう730を含みうる。
特定の実施形態によれば、反応空間において窒化シリコン膜を基板に形成するための処理は、以下のステップの複数の繰り返しを含む。
(1)シリコン含有種が基板の表面に吸着されるように、SiIのようなシリコン含有前駆体を反応空間に導入すること、
(2)必要に応じて、余剰なシリコン含有前駆体及び/又は反応副生成物を反応空間から除去すること、
(3)N、NH、N又はN及びHのような窒素含有前駆体を反応空間に導入すること、
(4)窒素前駆体から反応種を生成すること、
(5)基板を反応種と接触すること、
(6)必要に応じて、余剰な窒素原子、プラズマ又はラジカル及び/又は反応副生成物を除去すること、
(7)基板を窒素プラズマ処理に適用すること。
ステップ(1)から(6)は、所望の厚さの窒化シリコン膜が形成されるまで繰り返されてもよい。
一部の実施形態では、ステップ(6)は、窒素プラズマ処理の適用の前に行われない。例えば、窒素前駆体から生成された反応種に基板を接触することに続いて、パージ処理のない又は実質的にない窒素プラズマ処理の適用が行われてもよく、窒素前駆体ステップからの1以上の反応種の残りは、窒素プラズマ処理において存在してもよい。例えば、水素種の残りが存在してもよい。
一部の実施形態では、ステップ(4)及び(5)は、窒素原子、プラズマ又はラジカルがリモート形成され、反応空間に提供されるステップと置き換えてもよい。
図8は、一部の実施形態に係る、窒化シリコンPEALD堆積処理860に続く窒素プラズマ処理840を含む窒化シリコン膜形成処理870の別の例を概説するフローチャート800である。本明細書で説明されるように、窒化膜堆積処理860は、対象となる基板を1以上のシリコン前駆体に接触すること810に続く(例えば、基板を1以上の窒素前駆体と接触するステップの一部として)対象となる基板を活性化した水素含有種を含む窒素プラズマに接触すること820の1以上のサイクル830を含みうる。
対象となる基板を、窒素プラズマがない又は実質的にない活性化した水素含有種と接触すること840(例えば、窒素プラズマ処理の一部として)は、対象となる基板を1以上のシリコン前駆体と接触すること810、及び対象となる基板を活性化した水素含有種を含む窒素プラズマと接触すること820の1以上のサイクル830に続きうる。対象となる基板を、窒素プラズマがない又は実質的にない活性化した水素含有種と接触すること840は、各堆積サイクル830の後、又は例えば、2、3、4、5、6、7等のサイクルごとの堆積処理を通じて間欠的に行われうる。
一部の実施形態では、窒化膜堆積処理860に続いて、対象となる基板を、活性化した水素種がない又は実質的にない窒素プラズマと接触すること840は、複数回繰り返されうる850。例えば、完全な窒化シリコン膜形成処理870は、窒化膜堆積処理860に続いて、対象となる基板を、活性化した水素種がない又は実質的にない窒素プラズマと接触すること840の複数回のサイクルを含みうる。
本明細書で説明されるように、PEALD処理は、約200℃から約400℃、約300℃から約400℃、又は約400℃の温度で行われてもよい。
一部の実施形態では、窒素プラズマ処理は、基板を、窒素及び1以上の不活性ガスを含む反応物質から形成したプラズマと接触することを含みうる。例えば、窒素プラズマ処理は、基板を、窒素(N)及びアルゴン(Ar)のような1以上の希ガスから形成したプラズマと接触することを含みうる。窒素プラズマ処理は、ダイレクトプラズマ処理を含んでもよい。例えば、PEALD窒化シリコン堆積処理の1以上のサイクルに続いて、窒素プラズマ処理が行われてもよい。プラズマ窒素前駆体ステップを含むPEALD窒化シリコン堆積処理の1以上のサイクルは、所望の化学及び/又は物理特性(例えば、所望のウェットエッチング特性及び剥離欠陥がない又は実質的にない)を有するコンフォーマルな窒化シリコン膜の形成を容易にするために、窒素プラズマ処理と組み合わせられうる。
一部の実施形態では、窒素プラズマ処理は、大量の活性化した水素含有種(例えば、水素イオン(H))を含まない。一部の実施形態では、窒素プラズマ処理は、活性化した水素含有種がない又は実質的にない。一部の実施形態では、窒素処理は、対象となる基板を窒素前駆体と接触するための窒化膜堆積処理(例えば、窒素前駆体ステップ)におけるサイクルからのような、反応チャンバで行われた前の処理から残る、活性化した水素含有種の残存物を含みうる。本明細書で説明されるように、一部の実施形態では、パージ処理は、僅かな量の水素含有種が反応チャンバに残るように、窒素プラズマ処理の前には行われなくてもよい。例えば、窒素プラズマ処理は、水素含有成分を反応チャンバに供給しないが、前の処理ステップからの僅かな量の水素含有種は、窒素プラズマ処理の少なくとも一部の間に処理チャンバ内に残る。
一部の実施形態では、窒化シリコンを堆積するためのPEALD処理におけるプラズマパワーは、膜の欠陥及び/又は剥離の形成を低減又は防ぐために十分低い。しかし、プラズマパワーは、窒素プラズマ処理において高くてもよい。よって、一部の実施形態では、窒素プラズマ処理で使用されるプラズマパワーは、窒化シリコンを堆積するためのPEALD処理(例えば、PEALD処理の窒素前駆体ステップ)で使用されるもの以上である。例えば、SiNを形成するためのPEALDサイクルは、低減されたプラズマパワーを用いて窒素及び水素を含むガスで形成されるが、水素含有種がない又は水素含有種のみが残存する窒素プラズマ処理において、相対的に高いプラズマパワーが用いられてもよい。一部の実施形態では、窒素プラズマ処理中に印加されるプラズマパワーは、活性化した水素種が形成されるSiNを形成するためのPEALD処理中(例えば、PEALD処理の窒素前駆体ステップ時)に印加されるプラズマパワーの約900%までである。一部の実施形態では、窒素プラズマ処理のためのプラズマパワーは、窒素前駆体ステップで使用されるプラズマパワーの約400%までが好ましく、窒素前駆体ステップで使用されるプラズマパワーの約100%から約250%がより好ましく、窒素前駆体ステップで使用されるプラズマパワーの約100%から約200%が最も好ましい。
一部の実施形態では、窒素プラズマ処理で使用されるプラズマパワーは、窒素前駆体ステップで使用されるもの未満である。例えば、窒素プラズマ処理で使用されるプラズマパワーは、窒素前駆体ステップで使用されるプラズマパワーの約50%から100%でありうる。
PEALD堆積処理で使用されるプラズマパワーは、窒化シリコンが堆積される対象となる基板の構造の形状及び/又は材料を含む様々な要因に依存しうる。本明細書で説明されるように、PEALD窒化シリコン堆積で使用されるプラズマパワーは、(例えば、300ミリメートル(mm)ウェーハ基板を処理するように構成される反応チャンバにおいて)例えば、約100Wから約300W、及び約150Wから約250Wを含む、約50ワット(W)から約600Wであってもよい。本明細書で説明されるように、窒素プラズマ処理時に印加されるプラズマパワーは、窒素前駆体処理時に印加されるプラズマパワー以上であってもよく、例えば、約100Wから約1000W、好ましくは約125Wから約60W、より好ましくは約150Wから約300Wであってもよい。一部の実施形態では、窒素プラズマ処理時に印加されるプラズマパワーの出力密度(例えば、300ミリメートル(mm)ウェーハ基板を処理するように構成される反応チャンバにおいて)は、約0.07 Watts per cubic centimeter(W/cm)、好ましくは約0.08W/cmから0.4W/cm、より好ましくは約約0.1W/cmから0.2W/cmでありうる。
窒素プラズマ処理の期間は、所望の結果を得るように選択されうる。一部の実施形態では、当該期間は、処理される窒化シリコン膜の厚さに一部基づく。例えば、短い窒素プラズマ処理は、各PEALDサククル後に印加される窒素プラズマ処理で使用されうるが、長い窒素プラズマ処理は、窒素プラズマ処理が、より少ない頻度で印加されるときに、使用されうる。
本明細書で説明されるように、窒化シリコン形成処理は、窒化シリコン膜を堆積するための複数の堆積サイクルと、各堆積サイクルが、シリコン前駆体ステップに続いて窒素前駆体ステップを含みうる1以上の窒素プラズマ処理と、を含んでもよい。一部の実施形態では、複数の堆積サイクル(例えば、シリコン前駆体ステップに続いて窒素前駆体ステップを含む堆積サイクル)及び1以上の窒素プラズマ処理ステップを含むサイクルは、複数回繰り返されうる。一部の実施形態では、複数の堆積サイクルは、所望のシリコン膜厚を実現するために繰り返されることができ、その後、1以上の窒素プラズマ処理ステップに続きうる。
一部の実施形態では、PEALD窒化シリコン膜堆積における窒素前駆体ステップの総期間は、窒素プラズマ処理の総期間以上である。窒素プラズマ処理の総期間は、窒化シリコン形成処理で行われる窒素プラズマ処理の全ての長さの合計であり、窒素前駆体ステップの総期間は、窒化シリコン膜形成処理で行われる窒素前駆体ステップの全ての長さの合計でありうる。
窒素前駆体ステップの期間は、膜欠陥(例えば、ブリスター欠陥)の形成を低減又は防ぎつつ、所望の膜成長及び/又は所望のコンフォーマルな膜の堆積を実現するように選択されてもよい。一部の実施形態では、窒素前駆体ステップの期間は、窒素前駆体ステップで使用されるプラズマパワーの大きさ、及び/又はプラズマパワーが印加される期間に依存しうる。一部の実施形態では、大きなプラズマパワーは、ブリスター及び/又は剥離がない又は実質的にない窒化シリコン膜の形成を容易にするために、短い期間印加されてもよい。例えば、特定の理論又は動作のモードに限定されるものではないが、印加されるプラズマパワー及び/又は窒素前駆体ステップの期間の増加は、ブリスター及び/又は剥離のような膜欠陥の形成に寄与してもよい。例えば、約200Wのパワーでの窒素前駆体ステップの6秒間のプラズマ期間は、ブリスターが生じない又は実質的に生じないが、約200Wの同一のパワーでの窒素前駆体ステップの8秒間のプラズマ期間は、ブリスターの形成をもたらす。例えば、約200Wのパワーでの6秒間のプラズマ期間は、ブリスターの形成をもたらす。
一部の実施形態では、水素を含む窒素前駆体ステップは、約5000W*s未満、好ましくは2500W*s未満、及び最も好ましくは約1000W*s未満のワット(W)をプラズマ期間(秒)と掛けたプラズマエネルギーを有しうる。
一部の実施形態では、窒化シリコン堆積処理の窒素プラズマ処理は、活性化した水素含有種が窒素前駆体ステップに提供される総期間の約1%から約100%の総期間、好ましくは、活性化した水素含有種が窒素前駆体ステップに提供される総期間の約5%から約75%の総期間、及びより好ましくは、活性化した水素含有種が窒素前駆体ステップに提供される総期間の約10%から約50%の総期間を有しうる。
一部の実施形態では、窒化シリコン堆積処理の窒素プラズマ処理は、活性化した水素含有種が窒素前駆体ステップに提供される総期間の約40%の総期間を有しうる。例えば、窒化シリコン堆積処理は、シリコン前駆体ステップに続く窒素前駆体ステップの25回のサイクルを含むことができ、各サイクルは、活性化した水素含有種が提供される6秒間の窒素前駆体ステップを含み、活性化した水素含有種が提供される150秒間の窒素前駆体ステップを含む窒化シリコン処理を提供する。活性化した水素含有種が提供される窒素前駆体ステップの40%である窒素プラズマ処理の総期間は、約60秒でありうる。
対象となる基板が窒素プラズマ処理に曝露される頻度は、所望の最終的な膜特性を実現するように選択されうる。例えば、1以上の窒素プラズマ処理は、対象となる基板が1以上のシリコン前駆体に暴露されることに続いて窒化シリコン膜成長のための窒素前駆体の、サイクルの複数の繰返しに続きうる。一部の実施形態では、対象となる基板を1以上のシリコン前駆体に暴露することに続く窒素前駆体のサイクルは、各窒素プラズマ処理前に、25回繰り返されうる。例えば、窒素プラズマ処理は、対象となる基板を1以上のシリコン前駆体に暴露することに続く窒素前駆体の25回のサイクルの繰り返しごとに続きうる。一部の実施形態では、窒素プラズマ処理は、対象となる基板を1以上のシリコン前駆体に暴露することに続く窒素前駆体の50回のサイクルの繰り返しごとに続きうる。一部の実施形態では、窒素プラズマ処理は、対象となる基板を1以上のシリコン前駆体に暴露することに続く窒素前駆体の100回のサイクルの繰り返しごとに続きうる。
特定の理論又は動作のモードに限定されるものではないが、プラズマ窒素処理は、例えば、窒化シリコン膜のイオン衝突を通じて、窒化シリコン膜の高密度化のために適用されうる。例えば、窒素プラズマ処理時のシリコン膜をプラズマに暴露することの増加は、イオン衝突の量を増加し、膜の高密度化を増大させうる。水素がない又は実質的にない窒素プラズマ処理は、剥離欠陥のない又は実質的にない窒化シリコン膜の形成を促進しつつ、増加したプラズマパワーの使用を促進にする。その間、水素含有種は、窒化シリコン膜の表面反応及び/又はコンフォーマルな堆積を促進するために、窒化シリコン成長サイクルで使用されてもよい。高密度化した窒化シリコン膜は、例えば、3D構造の垂直面及び水平面での構成のエッチング速度における均一性の増加を含む、ウェットエッチング速度の減少及び/又はウェットエッチング均一性の増加を示しうる。
一部の実施形態では、-NH表面官能基を提供する処理は、窒素プラズマ処理に続いて行われうる。特定の理論又は動作のモードに限定されるものではないが、窒化シリコン膜成長のための-NH表面官能基の量は、窒素プラズマ処理時に生じる対象となる基板のイオン衝突により除去されてもよい。-NH表面官能基を提供する処理は、窒素プラズマ処理時に除去されている-NH表面官能基を対象となる基板に提供するために、窒素プラズマ処理に続いて行われうる。一部の実施形態では、-NH表面官能基を提供する処理は、プラズマ処理と、1以上の窒素含有及び水素含有成分を含みうる。一部の実施形態では、-NH表面官能基を提供する処理は、窒素前駆体を提供するための処理と同一でありうる。-NH表面官能基を提供する処理は、対象となる基板を、窒素含有ガスで生成されるプラズマに暴露することを含みうる。一部の実施形態では、プラズマは、活性化した水素含有及び窒素含有種に提供することと同様に、水素を含んでもよい。例えば、PEALD窒化シリコン処理の窒素前駆体ステップと同様又は同一の処理は、-NH表面官能基を提供する窒素プラズマ処理に続いて行われうる。
PEALD窒化シリコン堆積と組み合わせた窒素トリートメント処理の実施例
実施例1
窒化シリコン膜形成処理のサイクルの2つの実施例が以下に提供される。各処理は、窒素プラズマトリートメントを有するPEALD窒化シリコン堆積処理と、以下に説明されるような図9でグラフ化される各シーケンスを用いて形成される窒化シリコン膜のウェットエッチング性能と、の組み合わせを含む。
実施例a)
窒化シリコン膜形成処理のサイクルは、総計18秒間の対象となる基板をプラズマに暴露することが含まれていた:対象となる基板をシリコン前駆体に2秒間暴露すること、続いて、対象となる基板を、窒素(N)及び水素(H)により窒素前駆体のために生成されるプラズマに、約50Wのパワーで、6秒間暴露すること、続いて、対象となる基板を、窒素プラズマ処理のために窒素(N)及びアルゴン(Ar)により生成されるプラズマに、約200Wのプラズマパワーで、6秒間暴露すること、続いて、対象となる基板を、窒素(N)及び水素(H)から生成されたプラズマに、約50Wのパワーで、6秒間暴露すること(例えば、対象となる基板に-NH表面官能基を提供するために)。
実施例b)
窒化シリコン膜形成処理のサイクルは、総計30秒間の対象となる基板をプラズマに暴露することが含まれていた:対象となる基板をシリコン前駆体に2秒間暴露すること、続いて、対象となる基板を、窒素(N)及び水素(H)を用いて窒素前駆体のために生成されたプラズマに、約50Wのパワーで、12秒間暴露すること、続いて、対象となる基板を、窒素プラズマ処理のために窒素(N)及びアルゴン(Ar)により生成されるプラズマに、約200Wのプラズマパワーで、6秒間暴露すること、続いて、対象となる基板を、窒素(N)及び水素(H)から生成されたプラズマに、約50Wのパワーで、12秒間暴露すること(例えば、対象となる基板に-NH表面官能基を提供するために)。
実施例のサイクルのそれぞれは、所望の窒化シリコン膜を取得し、所望の特性を有する窒化シリコン膜を実現するために、複数回繰り返された。窒素プラズマ処理を行う前、及び対象となる基板を窒素前駆体に接触するステップに続くパージガス処理は、省略されたが、パージガス処理は、シリコン前駆体と窒素前駆体とのステップの間に行われた。
両方の実施例のサイクルを用いて形成した窒化シリコン膜は、ブリスター及び/又は剥離欠陥のない又は実質的にない膜を提供したことがわかった。両方の実施例のサイクルあたりの窒化シリコン膜成長速度は、サイクルあたり約0.2オングストローム(Å/c)であり、膜成長のための表面反応が、窒素及び水素の総計12秒、50Wの処理を含むサイクルで飽和したことを示した。特定の理論又は動作のモードに限定されるものではないが、実施例a)で提供されるものを超える追加のプラズマ暴露は、追加の膜成長ではなく、窒化シリコン膜の高密度化に寄与する。
図9は、実施例a)及びb)で示されるシーケンスの複数のサイクルを用いて形成された2つの窒化シリコン膜の、分単位(min)における、希釈HF溶液(dHF)の浸漬時間の関数としての、熱酸化シリコン(TOX)と比べた、nanometers per minute(nm/min)でのウェットエッチング速度(WER)及びウェットエッチング速度比(WERR)を示す。WER及びWERRデータは、窒素プラズマ処理を含む処理を用いて形成された窒化シリコン膜が、優れたWER(例えば、約0.1nm/min未満)及びWERR(例えば、約0.06未満)を有しうることを示す。このようなWER及びWERR値は、窒素プラズマ処理を含まない従来の処理を用いて形成された窒化シリコン膜により示されるものよりも、ほぼ一桁の大きさで低くなりうる。
実施例2
図10は、ラジカル誘導膜成長から隔てたイオン衝突により誘起される膜成長を示すように構成されるダイレクトプラズマ反応チャンバでのテストセットアップを示す。プラズマから離れて面した研磨表面を有するシリコンサンプル(例えば、図10の下に示される)は、シリコンウェーハに搭載されうる(例えば、反応チャンバのシャワーヘッド)。ギャップは、シリコンサンプルとウェーハとの間に維持されうる。ギャップは、約0.7mmから約2.1mmの間で変化されうる。例えば、このようなセットアップにおいて、イオンは、研磨されたシリコンサンプル表面にわずか又は全く到達しないが、ラジカルは、研磨されたシリコンサンプル表面に拡散しうる。テストセットアップを用いて行われるSiI+N/H PEALD窒化シリコン堆積処理は、シリコンサンプル表面にフェースダウンで膜を成長しうる。この成長は、主に、N*、H*、NH*及び/又はNH*のようなラジカルにより生じると考えられる。形成されたシリコンサンプル表面上の膜成長は、ラジカル誘導膜成長を決定するために測定されうる。
図11は、nm/minにおけるウェットエッチング速度(WER)、及びSiI+N/H PEALD処理を用いて成長された窒化シリコン膜に対して熱酸化シリコンのウェットエッチング速度を比較したウェットエッチング速度比(WERR)を示し、ここで、窒化シリコン膜は、図10の実験セットアップで示されるセットアップにおいてシリコンサンプルの研磨された表面上に成長される。シリコンウェーハとシリコンサンプルとの間のギャップは、約1.4mmであった。結果は、非常に均一な膜質を示したが、膜の初期厚さは、おそらく拡散時のラジカルフラックス(flux)低減のために異なっている。図11は、ラジカルエンハンスとALDによるSiサンプル上に成長された窒化シリコン膜が、均一なWERを示することができ、例えば、膜の品質上、均一であることを示すことを示している。図11における挿入図は、30秒間のdHFウェットエッチング前後の窒化シリコン膜厚を示す。サンプルにわたる膜厚は、窒化シリコンが、例えば、SiIの高い反応性により、SiI前駆体を用いるのみ又は実質的にSiI前駆体を用いるのみで成長されうることを示す。
図10に示されるセットアップを用いて、SiIの代わりに、別のシリコン前駆体、ビス(トリクロロシリル)エタンが使用されるとき、研磨されたシリコンサンプル表面には膜成長が観察されなかった。膜成長は、ダイレクトイオン衝突に晒されたシリコンウェーハの上面にのみ観察された。よって、これは、SiIが、ビス(トリクロロシリル)エタンよりも反応性の高い前駆体であり、ラジカルエンハンストALDが可能であると結論付けられうる。
実施例3
本明細書で説明されるように、窒化シリコン膜品質は、処理温度の増加、プラズマパワーの増加及び/又は長いプラズマパルス期間を適用することにより、改善されてもよい。しかし、活性化した水素含有種を含むプラズマのような、プラズマパワー及び/又はプラズマパルス期間の増加は、ブリスター及び/又は剥離欠陥を示す窒化シリコン膜を提供する可能性がある。
図12は、ポスト水素プラズマ処理における活性化した水素種(例えば、Hイオン)の量を変化させて、窒化シリコン膜が暴露された異なる膜厚の窒化シリコン膜におけるブリスター欠陥の形成を示す。窒化シリコン膜は、異なるプラズマパワー及び異なる期間を有する水素プラズマに暴露され、各窒化シリコン膜でのブリスター欠陥形成の度合いが観察された。図12は、以下の処理に対応する、SEMによる、様々な厚さを有するSiN膜の平面図を示す:(1)20nm SiN+200W、30分間のHプラズマ、(2)20nm SiN+200W、15分間のHプラズマ、(3)20nm SiN+100W、30分間のHプラズマ、(4)10nm SiN+100W、35分間のHプラズマ。
図12に示されるように、例えば、窒化シリコン膜剥離により生じる、ブリスター欠陥の増加は、プラズマパワー及び/又は活性化した水素種の量の増加で増加しうる。ブリスター欠陥の低減のような膜品質の改善は、高いエネルギーによる膜衝撃及び高用量の活性化した水素種により、促進されてもよい。
実施例4
本明細書で説明されるように、窒素プラズマ処理が適用される頻度は、所望の窒化シリコン膜特性を実現するように変化されうる。窒素プラズマ処理頻度の窒化シリコン膜特性上の効果は、膜堆積後にのみ処理される窒化シリコン膜をエッチングすることにより、近似され、1つの窒素プラズマ処理は30分間であった。図13Aは、希釈HF(dHF)での分単位の浸漬時間の関数としての、nm単位の膜厚を示し、図13Bは、希釈HF(dHF)での分単位の浸漬時間の関数としての、熱酸化シリコン(TOX)と比較した膜のウェットエッチング速度比(WERR)を示す。図13Bは、この膜のエッチング速度が、約1nmがエッチングされたエッチング時間の初めの4分間では非常に低かったことを示す。10分間の浸漬時間の後、エッチング速度は、窒素プラズマ処理を受けなかった窒化シリコン膜と同じレベルで増加した。これは、窒化シリコン膜の少なくとも約1nmから約2nmは、窒素プラズマ処理を用いて高いエッチング耐性(例えば、“スキンエフェクト(skin effect)”)をなしうると結論付けられうる。一部の実施形態では、窒素トリートメント処理の“スキンエフェクト”は、約2nmから約3nmの深さを実現しうる。
例えば、窒化シリコン耐性処理の成長速度は、約0.4Å/cycleであることができ、25から50サイクルの窒化シリコン膜堆積は、約1nmから約2nmの膜を堆積するために適用されうる。窒素プラズマ処理が適用されうる頻度は、窒化シリコン厚さのほとんど又は全てのdHFにおけるエッチング速度が、窒素プラズマ処理の適用後に減少されうるように、窒化シリコン膜堆積の約25回ごとから約50回ごとのサイクル(例えば、シリコン前駆体ステップに続く窒素前駆体ステップを含む各サイクル)後でありうる。
一部の実施形態では、窒素プラズマ処理が窒化シリコン膜形成処理時に適用されうる頻度は、窒化シリコン膜堆積のほぼ少なくとも100回のサイクルごとの後、好ましくは少なくとも50回のサイクルごとの後、最も好ましくは少なくとも25回のサイクルごとの後でありうる。
一部の実施形態では、形成される窒化シリコン膜の厚さは、約3nm未満、好ましくは約2nm未満、より好ましくは約1nmであり、例えば、窒化シリコン膜厚さのほとんど又は全てのエッチング速度は、窒素プラズマ処理により処理された後に改善されうる。一部の実施形態では、窒化シリコン膜厚さは、約0.5nm未満でありうる。
図14A及び14Bは、窒化シリコン膜堆積の25回、50回又は100回後に1分間窒素プラズマ処理を用いて処理された膜の膜組成及びdHFウェットエッチング速度比(WERR)をそれぞれ示す。図14Aは、窒素プラズマ処理を適用した頻度が増加されるときにH含有量が非常に減少されうることを示す。Si及びN含有量は、ほぼ同一に維持される。WERRは、窒素プラズマ処理間のサイクル数が増加するときに、増加する。例えば、窒化シリコン膜堆積の100サイクルは、約4nmの膜堆積に対応することができ、処理は、25回及び50回後の約1nm及び2nmの膜堆積後に適用される窒素プラズマ処理と比較して、ウェットエッチング速度の低減には効果的ではない。
一部の実施形態では、窒素プラズマ処理間のサイクルの回数は、窒化シリコン膜エッチング特性とスループットとのトレードオフに基づいて選択されうる。例えば、良好なエッチング特性は、各堆積サイクル後に適用される窒素プラズマ処理で実現されうるが、スループットを非常に低下させるであろう。よって、当業者は、最も効率的な方法で適切な膜を形成するために、処理比を調整しうる。一部の実施形態では、パージ処理は、ブリスター形成を抑制又は防ぐために、各エッチングサイクルにおいて窒素プラズマ処理を適用する前にHをパージするために適用されてもよいが、他の実施形態では、パージ処理及び/又は窒素プラズマ処理は、所望の膜品質を維持しつつ、スループットを増大させるために、低減された頻度で提供される。
実施例5
図15は、窒化シリコン形成処理の1つのサイクルに含まれる、秒単位の、窒素プラズマ処理の期間に対する、3D構成の水平面(図15に“上面”と付される)及び垂直面(図15に“側面”と付される)に形成される窒化シリコン膜の熱酸化(TOX)と比較した、ウェットエッチング速度比(WERR)を示す。窒化シリコン膜は、窒素プラズマ処理と組み合わせたPEALD窒化シリコン膜堆積処理を用いて成長された。窒化シリコン形成処理の1つのサイクルは、以下のようなシーケンスである:0.3秒間のシリコン前駆体、並びに水素及び窒素が反応チャンバに供給されるシリコン前駆体ステップ、続いて0.5秒間の窒素及び水素が反応チャンバに供給されるパージ処理、続いて3.3秒間、約165Wのプラズマパワー(約0.11W/cmの出力密度)で、窒素及び水素が反応チャンバに供給されるプラズマ窒素前駆体ステップ、続いて10秒間の窒素が反応チャンバに供給されるパージ処理、続いて約220W(約0.15W/cm)のプラズマパワーで、窒素が反応チャンバに供給される窒素プラズマ処理、続いて10秒間の水素及び窒素が反応チャンバに供給されるパージ処理。図15に対応する窒化シリコン膜は、550℃の温度で、シーケンスの約190サイクルを用いて形成された。
図15に示されるように、水平及び垂直面のWERRは、各サイクルにおいて窒素プラズマ処理の期間を変化させることにより、少なくとも部分的に調整されうる。図15は、均一な水平面及び垂直面のエッチング速度が、上記のシーケンスにおいて約0.9秒の期間を有する窒素プラズマ処理を用いて実現されうることを示す。
マルチステッププラズマ暴露
一部の実施形態では、SiN薄膜の堆積のための処理は、マルチステッププラズマ暴露を含む。例えば、SiN薄膜の堆積のためのPEALD処理のサイクルは、基板をシリコン前駆体に接触することを含む第1の段階を含んでもよい。一部の実施形態では、シリコン前駆体は、本明細書で説明されるように、1以上のシリコン前駆体を含む。一部の実施形態では、シリコン前駆体は、オクタクロロトリシラン(octachlorotrisilane(OCTS))のような塩素含有前駆体を含む。
SiN薄膜の堆積のためのPEALD処理は、基板を窒素前駆体と接触することを含む第2の段階を含む。一部の実施形態では、PEALD処理の第2の段階は、マルチステッププラズマ処理を含む。一部の実施形態では、シリコン前駆体は、塩素含有前駆体を含む。例えば、一部の実施形態では、シリコン前駆体は、ジクロロシラン(dichlorosilane(DCS))、ヘキサクロロジシラン(hexachlorodisilane(HCDS))及び/又はテトラクロロシラン(tetrachlorosilane(SiCl))を含んでもよい。
図16を参照すると、堆積処理1600の一例は、反応チャンバ内の基板上のSiN薄膜を形成するために示される。堆積処理1600は、PEALD処理を含んでもよい。ブロック1602では、基板は、シリコン前駆体に暴露されうる。例えば、基板は、オクタクロロトリシラン(octachlorotrisilane(OCTS))に暴露されうる。一部の実施形態では、基板は、本明細書で説明される1以上の他のシリコン前駆体に暴露されうる。基板は、続いて、マルチステッププラズマ暴露に晒されてもよい。ブロック1604では、基板は、少なくとも1つの窒素含有プラズマ及び少なくとも1つの他の異なるプラズマに暴露されうる。マルチステッププラズマ暴露は、基板を1以上の窒素含有プラズマに暴露することを含んでもよい。例えば、マルチステッププラズマ暴露の1以上のステップは、基板を、窒素ガス(N)により生成されるプラズマに暴露することを含んでもよい。
一部の実施形態では、PEALD処理のサイクルは、ブロック1602及び1604を含む。例えば、サイクルの第1の段階は、ブロック1602を含んでもよく、サイクルの第2の段階は、ブロック1604を含んでもよい。一部の実施形態では、ブロック1602及び1604を含むサイクルは、1606において、所望の厚さのSiN膜を実現するために複数回繰り返されてもよい。
一部の実施形態では、マルチステッププラズマ暴露の1以上のプラズマステップは、窒素含有及び/又は水素含有ガスを用いてプラズマを生成することと、基板をプラズマと接触することと、を含む。例えば、マルチステッププラズマ暴露の1以上のプラズマステップは、基板を、窒素ガス(N)及び/又は水素ガス(H)を用いて生成されたプラズマに暴露することを含んでもよい。一部の実施形態では、プラズマステップの1以上は、基板を、窒素ガス(N)及び水素ガス(H)の両方を用いて生成されたプラズマに暴露することを含んでもよい。一部の実施形態では、プラズマステップの1以上は、水素ガス(H)のみ又は実質的に水素ガスのみにより生成されたプラズマを含む。
マルチステッププラズマ暴露は、基板がプラズマ反応物質と接触される2以上のステップを含みうる。一部の実施形態では、マルチステッププラズマ暴露は、3つのプラズマステップを含みうる。例えば、マルチステッププラズマ暴露は、それぞれが、基板を、窒素ガス(N)及び水素ガス(H)の両方を用いて生成されたプラズマに暴露することを含む2つのプラズマステップと、基板を、水素ガス(H)を用いて生成されたプラズマに暴露することを含む1つのプラズマステップと、を含んでもよい。
図17を参照すると、堆積処理1700の別の例は、反応チャンバ内の基板上にSiN薄膜を形成するために示される。堆積処理1700は、PEALD処理を含んでもよい。ブロック1702では、基板は、シリコン前駆体に暴露されうる。例えば、シリコン前駆体は、オクタクロロトリシラン(octachlorotrisilane(OCTS))を含んでもよい。一部の実施形態では、基板は、本明細書で説明される1以上の他のシリコン前駆体に暴露されてもよい。基板は、その後、複数のプラズマに暴露されてもよい。ブロック1704では、基板は、窒素ガス(N)及び水素ガス(H)の両方を用いて生成された第1のプラズマに暴露されうる。その後、ブロック1706では、基板は、水素ガス(H)を用いて生成された第2のプラズマに暴露されうる。例えば、第2のプラズマは、水素ガス(H)のみ又は実質的に水素ガスのみにより生成されてもよい。ブロック1708では、基板は、窒素ガス(N)及び水素ガス(H)の両方を用いて生成された第3のプラズマに暴露されうる。
一部の実施形態では、PEALD処理のサイクルは、ブロック1702、1704、1706及び1708を含む。例えば、サイクルの第1の段階は、ブロック1702を含んでもよく、サイクルの第2の段階は、ブロック1704、1706及び1708を含んでもよい。一部の実施形態では、ロック1702、1704、1706及び1708を含むサイクルは、所望厚さのSiN膜を実現するために複数回繰り返される一部の実施形態では、マルチステッププラズマ暴露は、基板を非プラズマ反応物質に暴露する前に完了される。一部の実施形態では、マルチステッププラズマ暴露は、完了され、別の非プラズマ反応物質は、基板をシリコン反応物質と再び接触する前に、反応チャンバに提供されない。例えば、SiN膜を堆積するための処理は、ブロック1702において基板をシリコン前駆体に再び暴露する前に、ブロック1704、1706及び1708において基板をプラズマに暴露することを完了することを含んでもよい。
一部の実施形態では、プラズマが生成されないインターバルは、プラズマ暴露に続きうる。一部の実施形態では、当該インターバルは、反応チャンバから余剰な反応物質及び/又は反応副生成物を除去するステップを含みうる。例えば、基板の近傍から余剰な反応物質及び/又は反応副生成物を除去するステップは、マルチステッププラズマ暴露の1以上に続いてもよい。一部の実施形態では、除去するステップは、反応チャンバから排出すること及び/又は反応チャンバを通じてパージガスを流すことを含んでもよい。一部の実施形態では、基板は、除去するステップ中に反応物質がない又は実質的にない空間に移動されてもよい。
一部の実施形態では、各プラズマステップにパージステップが続きうる。例えば、ブロック1704における基板を第1のプラズマに暴露すること、ブロック1706における基板を第2のプラズマに暴露すること、及びブロック1708における基板を第3のプラズマに暴露することのそれぞれにパージステップが続いてもよい。一部の実施形態では、マルチステッププラズマ暴露のプラズマステップの一部のみにパージステップが続く。例えば、マルチステッププラズマ暴露の最後のプラズマステップにはパージステップが続かなくてもよい。
一部の実施形態では、パージステップのパージガスは、不活性ガスを含みうる。例えば、パージガスは、希ガス含みうる。一部の実施形態では、パージガスは、アルゴン(Ar)を含みうる。
一部の実施形態では、パージステップのパージガスは、プラズマステップに続く1以上のガスを含みうる。例えば、パージステップにおいて流されるパージガスは、直後のプラズマステップで使用されるガスに基づいて選択されうる。一部の実施形態では、パージステップにおいて流されるガスは、直後のプラズマステップにおけるプラズマを生成するために使用されるガスと同一でありうる。例えば、プラズマパワーは、パージステップ時にオフされ、パージステップの前のプラズマステップ及びパージステップの直後のプラズマステップにおいてプラズマを生成するために使用される1以上のガスの流れは、パージステップ時に継続され、必要に応じて、パージステップの直後のプラズマステップにおいてプラズマを生成するために使用されない1以上のガスは、パージステップ時にランプダウン(ramped down)及び/又はオフされうる。
一部の実施形態では、マルチステッププラズマ暴露におけるプラズマステップのそれぞれの期間は、1以上の所望のSiN膜品質を実現するために選択されうる。一部の実施形態では、プラズマステップの期間は、直後のプラズマステップのものよりも長い。例えば、第1のプラズマステップは、約4秒から約8秒、例えば、6秒間の期間を有してもよい。例えば、第2のプラズマステップは、約2秒から約6秒、例えば、4秒間の期間を有してもよい。例えば、第3のプラズマステップは、約1秒から約3秒、例えば、2秒間の期間を有してもよい。一部の実施形態では、プラズマステップの期間は、直後のプラズマステップものよりも長くなくてもよい。例えば、マルチステッププラズマ暴露におけるプラズマステップのそれぞれの期間は、等しい又は略等しい期間を有してもよい。一部の実施形態では、プラズマステップの期間は、直後のプラズマステップものよりも短くてもよい。
一部の実施形態では、マルチステッププラズマ暴露における1以上のプラズマステップ時の反応チャンバの圧力は、約2torrから約6torr又は約2torrから約4torrを含む約2torrから約8torrでありうる。
一部の実施形態では、マルチステッププラズマ暴露の1以上のステップのためのプラズマパワーは、例えば、約100Wから約800W、約100Wから約600W、及び約100Wから約500W等の約50ワット(W)から約800Wでありうる。プラズマパワーは、使用されるシリコン前駆体、プラズマステップの反応チャンバ圧力及び/又はプラズマステップの期間に基づいて選択されうる。一部の実施形態では、マルチステッププラズマ暴露における任意の一つのステップのプラズマパワーは、マルチステッププラズマ暴露の1以上の他のステップと同一であってもよい。一部の実施形態では、マルチステッププラズマ暴露における任意の一つのステップのプラズマパワーは、マルチステッププラズマ暴露の1以上の他のステップと異なってもよい。
SiN薄膜を堆積するためのPEALD処理におけるマルチステッププラズマ暴露のための例示的なシーケンスは、3つのプラズマステップと、プラズマがオフされる各プラズマステップ後のインターバルと、を含みうる。各インターバルは、パージステップを含んでもよい。例えば、マルチステッププラズマ暴露は、基板を、窒素ガス(N)及び水素ガス(H)の両方を用いて生成された第1のプラズマに暴露することを含んでもよい。第1のプラズマステップには、プラズマがオフされ、かつ第1のパージステップが行われるインターバルが続いてもよい。第1のパージステップは、水素ガス(H)及び/又は1以上の他のパージガスのフローを含んでもよい。基板は、その後、水素ガス(H)のみから生成された第2のプラズマに暴露される。第2のプラズマステップには、プラズマがオフされ、第2のパージステップが行われるインターバルが続いてもよい。第2のパージステップは、窒素ガス(N)及び水素ガス(H)の両方及び/又は1以上の他のパージガスのフローを含んでもよい。続いて、基板は、窒素ガス(N)及び水素ガス(H)の両方を用いることにより生成された第3のプラズマに暴露されうる。第3のプラズマステップには、プラズマがオフされ、第3のパージステップが行われるインターバルが続いてもよい。第3のパージステップは、窒素ガス(N)及び水素ガス(H)の両方及び/又は1以上の他のパージガスのフローを含んでもよい。一部の実施形態では、第3のパージステップは、省略されてもよい。
パージステップ時のガスの流速及びパージステップの期間は、余剰な反応物質及び/又は反応副生成物の所望の除去を促進するために選択されてもよい。一部の実施形態では、パージガスの1以上のガスの流速は、直前の又は直後のプラズマステップ時のガスの流速と同一であってもよい。一部の実施形態では、パージステップは、約2秒から約8秒を含む約1秒から約10秒の期間を有してもよい。例えば、パージステップの期間は、約4秒又は約6秒の期間を有しうる。
図18は、マルチステッププラズマ暴露の一例についての時間の関数としてのプラズマパワー及びガス流を示すグラフである。y軸は、基板における反応ガスの量を示し、x軸は、時間を示す。図18は、基板が水素含有及び窒素含有プラズマに暴露され、続いて、水素含有プラズマに暴露され、続いて、水素含有及び窒素含有プラズマに暴露される3つのステップのプラズマ暴露の一例を示す。図18に示される例は、水素ガス(H)及び/又は窒素ガス(N)を用いて生成されるプラズマを含み、ここで、プラズマパワーは、3つのプラズマステップのそれぞれでオンされ、窒素ガス(N)フローは、第1及び第3のプラズマステップ時にオンされるが、水素ガス(H)は、マルチステッププラズマ暴露を通じて継続される。
本明細書で説明されるように、図18のグラフは、プラズマがオン又は3つのプラズマステップの3つの期間を示す。図18は、直前のプラズマステップのものよりも短い期間を有してもよい。例えば、0に等しい時間で開始する第1のプラズマステップは、続く第2のプラズマステップのよりも長い期間を有し、第2のプラズマステップは、第3のプラズマステップよりも長い期間を有しうる。一部の実施形態では、各プラズマステップは、他のプラズマステップと等しい期間を有しうる。一部の実施形態では、各プラズマステップは、直前のプラズマステップよりも短い期間を有する。
図18は、窒素ガス(N)及び水素ガス(H)の両方のフローを含んでもよく、第2のプラズマステップは、水素ガス(H)のフローを含んでもよい。図18に示されるように、水素ガス(H)のフローは、マルチステッププラズマ暴露を通じて継続されてもよい。例えば、水素ガス(H)のフローは、マルチステッププラズマ暴露を通じて一定又はほぼ一定であるが、窒素ガス(N)のフローは、プラズマステップ間のインターバル時にランプアップされる又はランプダウンされてもよい。例えば、図18に示されるように、窒素ガス(N)は、第1及び第2のプラズマステップ間のインターバル時にリニアランプのようにランプダウンされてもよく、第2の及び第3のプラズマステップ間のインターバル時にリニアランプのようにランプアップされてもよい。例えば、プラズマステップ間のインターバルは、窒素ガス(N)の増加した量のフロー又は窒素ガス(N)の減少した量のフロー、及び一定又はほぼ一定の水素ガス(H)のフローを含んでもよい。
図18に示されるように、第1のプラズマステップと第2のプラズマステップとの間のインターバルは、水素ガス(H)及び窒素ガス(N)の両方のフローで開始してもよく、窒素ガス(N)がランプダウンされ、その後オフにされると、水素ガス(H)のみ又は実質的に水素ガス(H)のみのフローで終了してもよい。第2のプラズマステップに続く第2のインターバル時の窒素ガス(N)フローは、ランプアップされてもよい。例えば、第2のプラズマステップと第3のプラズマステップとの間のインターバルは、窒素ガス(N)のフローのランプアップで開始してもよく、水素ガス(H)及び窒素ガス(N)の両方のフローで終了してもよい。例えば、第2のインターバル時の窒素ガス(N)フローは、第3のプラズマステップのために使用される速度までランプアップされてもよい。
一部の実施形態では、3つのステップのプラズマ暴露の第1及び第3のプラズマステップは、窒素ガス(N)及び水素ガス(H)の両方のフローを含んでもよく、第2のプラズマステップは、窒素ガス(N)のフローを含んでもよい。例えば、窒素ガス(N)のフローは、マルチステッププラズマ暴露を通じて継続されてもよい。一部の実施形態では、窒素ガス(N)のフローは、暴露を通じて一定又はほぼ一定であるが、水素ガス(H)のフローは、プラズマステップ間のインターバル時にランプアップされる又はランプダウンされてもよい。例えば、水素ガス(H)は、第1及び第2のプラズマステップ間のインターバル時にリニアランプのようにランプダウンされてもよく、第2の及び第3のプラズマステップ間のインターバル時にリニアランプのようにランプアップされてもよい。プラズマステップ間のインターバルは、水素ガス(H)の増加した量のフロー又は水素ガス(H)の減少した量のフロー、及び一定又はほぼ一定の窒素ガス(N)のフローを含んでもよい。例えば、第1のプラズマステップと第2のプラズマステップとの間のインターバルは、水素ガス(H)及び窒素ガス(N)の両方のフローで開始してもよく、水素ガス(H)がランプダウンされ、その後オフにされると、窒素ガス(N)のみ又は実質的に窒素ガス(N)のみのフローで終了してもよい。第2のプラズマステップに続く第2のインターバル時の水素ガス(H)フローは、ランプアップされてもよい。例えば、第2のプラズマステップと第3のプラズマステップとの間のインターバルは、水素ガス(H)のフローのランプアップで開始してもよく、水素ガス(H)及び窒素ガス(N)の両方のフローで終了してもよい。例えば、第2のインターバル時の水素ガス(H)フローは、第3のプラズマステップのために使用される速度までランプアップされてもよい。
一部の実施形態では、3つのステップのプラズマ暴露は、水素ガス(H)のフローを含む第1のプラズマステップと、窒素ガス(N)のフローを含む第2のプラズマステップと、水素ガス(H)及び窒素ガス(N)の両方のフローを含む第3のプラズマステップと、を含んでもよい。一部の実施形態では、窒素ガス(N)は、ランプアップされてもよく、水素ガス(H)は、窒素ガス(N)のみ又は実質的に窒素ガス(N)が第2のプラズマステップ時に流れるように、第1のプラズマステップと第2のプラズマステップとの間のインターバル時にランプダウンされることができる。窒素ガス(N)は、第2のプラズマステップと第3のプラズマステップとの間のインターバル時に継続されてもよいが、水素ガス(H)は、窒素ガス(N)及び水素ガス(H)の両方が第3のプラズマステップ時に供給されるように、インターバル時にランプアップされる。一部の実施形態では、窒素ガス(N)のフローは、第2のプラズマステップの開始から第3のプラズマステップの終了まで一定又はほぼ一定に位置されうる。一部の実施形態では、水素ガス(H)及び/又は窒素ガス(N)のフローのランピング(ramping)は、リニアランプでありうる。
一部の実施形態では、3つのステップのプラズマ暴露は、水素ガス(H)及び窒素ガス(N)の両方のフローを含む第1のプラズマステップと、水素ガス(H) のフローを含む第1のプラズマステップと、窒素ガス(N)のフローを含む第3のプラズマステップと、を含んでもよい。一部の実施形態では、窒素ガス(N)フローは、第1のプラズマステップと第2のプラズマステップとの間のインターバル時にランプダウンされることができるが、水素ガス(H)フローは、水素ガスのみ又は実質的に水素ガスのみが第2のプラズマステップ時に流れるように、継続される。一部の実施形態では、水素ガス(H)のフローは、第1のプラズマステップの開始から第2のプラズマステップの終了まで一定又はほぼ一定に位置されうる。一部の実施形態では、水素ガス(H)は、第2のプラズマステップと第3のプラズマステップとの間のインターバル時にランプダウンされるが、窒素ガス(N)は、第3のプラズマステップが窒素ガス(N)のみ又は実質的に窒素ガス(N)のみが流れるように、ランプアップされる。一部の実施形態では、窒素ガス(N)及び/又は水素ガス(H)のフローのランピングは、リニアランプであってもよい。
一部の実施形態では、マルチステッププラズマ暴露を含むSiN薄膜を堆積するためのPEALD処理には、上述したように、1以上の他のプラズマ処理が続きうる。
マルチステッププラズマ暴露を用いて堆積されたSiN膜の膜特性の例
図19Aは、2つのSiN薄膜の特性を示す表である。図19Aの表は、各SIN膜それぞれの、angstroms per cycle(Å/cycle)の成長速度、屈折率、及びnanometers per minute(nm/min)のウェットエッチング速度(WER)のリストである。当該表は、SiN膜のそれぞれのウェットエッチング速度を熱酸化物(TOX)のものと比べたウェットエッチング速度比(WERR)のリストでもある。図19Aに示されるウェットエッチング速度及びウェットエッチング速度比は、0.5重量%のフッ化水素酸hydrofluoric acid(HF)溶液(希釈HF溶液又はdHF)に膜を暴露した後に測定された。
図19Aの表の第1の列に示されるSiN膜は、約120℃の処理温度を有するPEALD処理を用いて形成された。PEALD処理のサイクルは、基板が、オクタクロロトリシラン(OCTS)を含むシリコン前駆体と接触された第1の段階を含んでいた。PEALD処理サイクルの第2の段階は、基板が、窒素ガス(N)及び水素ガス(H)の両方を用いて生成されたプラズマと接触された単一のプラズマステップを含んでいた。
図19Aの表の第2の列に説明されるSiN膜は、約120℃の処理温度を有するPEALD処理を用いて形成された。PEALD処理のサイクルは、基板が、オクタクロロトリシラン(OCTS)を含むシリコン前駆体と接触された第1の段階を含んでいた。PEALD処理サイクルの第2の段階は、基板が、第3のプラズマステップに暴露されるマスチステッププラズマ暴露を含んでいた。表の第2の列に示されるSiN膜を形成するために使用されるマスチステッププラズマ暴露のシーケンスは、図19Bの表に提供される。図19Bに示されるように、PEALD処理サイクルの第2の段階は、プラズマが窒素ガス(N)及び水素ガス(H)の両方を用いて生成される、6秒間の第1のプラズマステップを含んでいた。第1のプラズマステップには、約4秒間の第1のパージステップが続いた。プラズマは、第1のパージステップ時にオフされ、第1のパージステップは、水素ガス(H)のフローを含んでいた。その後、基板は、第2のプラズマに約4秒間暴露され、ここで、第2のプラズマは、水素ガス(H)を用いて生成された。その後、約4秒間の第2のパージステップが行われ、ここで、第2のパージステップは、窒素ガス(N)及び水素ガス(H)のフローを含んでいた。第2のパージステップには、第3のプラズマステップが続いた。第3のプラズマステップは、約2秒間であり、基板は、窒素ガス(N)及び水素ガス(H)を用いて生成されたプラズマに暴露された。PEALD処理の完全なサイクルは、約37ナノメートルの厚さを有するSiN膜を実現するために約500回繰り返された。
図19Aの表に示されるように、2つのPEALDサイクルのサイクル当たりのSiN膜成長速度及び2つのSiN膜の屈折率は、同様であった。第1の列に示されるSiN膜を形成するためのPEALD処理は、約0.73Å/cycleの膜成長速度を示し、堆積されたSiN膜は、約1.78の屈折率を示した。第2の列に示されるSiN膜を形成するためのPEALD処理は、約0.74Å/cycleの膜成長速度を示し、堆積されたSiN膜は、約1.80の屈折率を示した。その一方で、図19Aの表の第2の列に示され、かつマルチステッププラズマ暴露を用いて堆積されたSiN膜は、dHF(0.5%)において、第1の列に示されるSiN膜のものと比べて、非常に低いウェットエッチング速度を示した。図19Aの表に示されるように、マルチステッププラズマ暴露を用いて形成された、第2の列のSiN膜のウェットエッチング速度は、第1の列に示されるSiN膜のものの約半分であった。図19Aの表は、マルチステッププラズマ暴露を用いて形成されたSiNのウェットエッチング速度比が、マルチステッププラズマ暴露を用いずに形成されたSiN膜のものの約半分であった。
特定の理論又は動作のモードに限定されるものではないが、マルチステッププラズマ暴露を含むSiN薄膜を形成するためのPEALD処理は、0.5重量%のHF溶液の所望のウェットエッチング速度を含む、所望のウェットエッチング速度を有するSiN膜の形成を促進することができる。例えば、マルチステッププラズマ暴露を含むPEALD処理は、所望の膜堆積速度及び/又は膜の屈折率のような他の所望の膜特性を有する膜を提供しつつ、所望のウェットエッチング速度を有するSiN膜の形成を促進することができる。
多数又は様々な変更が本発明の趣旨から逸脱することなくなされうることが当業者によって理解されるであろう。説明された構成、構造、特性及び前駆体は、任意の適切な態様で組み合わせられうる。したがって、本発明の形態は、例示的なもののみであり、本発明の範囲を限定することを意図するものではないことが明確に理解されるべきである。全ての変更及び変形は、添付の特許請求の範囲により規定されるような、本発明の範囲内に含むことが意図される。

Claims (20)

  1. 反応空間において基板の表面にSiN薄膜を形成する方法であって、
    前記基板を、SiIを含むシリコン前駆体と接触するステップと、
    前記基板を、第1のプラズマパワーを用いて生成される、活性化した水素種を含む第1のプラズマと接触するステップと、
    前記基板を、前記第1のプラズマパワーよりも小さい第2のプラズマパワーを用いてNを含むガスから形成される、水素種が実質的にない第2のプラズマと接触するステップと、
    を備える方法。
  2. 前記第1のプラズマは、水素、水素原子、水素プラズマ、水素ラジカル、N*ラジカル、NH*ラジカル及びNH*ラジカルの少なくとも1つを含む請求項1に記載の方法。
  3. 前記第2のプラズマは、N含有ガス及び希ガスから形成される、請求項1に記載の方法。
  4. 前記第2のプラズマパワーは、前記第1のプラズマパワーの少なくとも50%ある、請求項1に記載の方法。
  5. 前記第1のプラズマパワーは、50Wから600Wである、請求項1に記載の方法。
  6. 前記第2のプラズマパワーは、100Wから1000Wである、請求項1に記載の方法。
  7. 前記第1のプラズマは、2500W*s未満のプラズマエネルギーを有する、請求項1に記載の方法。
  8. 前記第2のプラズマは、.07W/cmから0W/cmのパワー密度を有する、請求項1に記載の方法。
  9. 前記基板は、第1の期間で前記第1のプラズマと接触し、前記第1の期間よりも短い第2の期間で前記第2のプラズマと接触する、請求項1に記載の方法。
  10. 前記第2の期間は、前記第1の期間の5%から75%である、請求項9に記載の方法。
  11. 前記基板を、SiIを含む前記シリコン前駆体と接触するステップと、前記基板を前記第1のプラズマに接触するステップと、を前記第2のプラズマと接触する前に、2回以上繰り返すステップを更に備える請求項1に記載の方法。
  12. 前記基板を前記シリコン前駆体と接触するステップと、前記基板を前記第1のプラズマと接触するステップと、を前記第2のプラズマと接触する前に、少なくとも25回繰り返す、請求項11に記載の方法。
  13. 前記基板を前記第1のプラズマに接触させる総期間は、前記基板を前記第2のプラズマに接触させる総期間以上である、請求項1に記載の方法。
  14. 前記第1のプラズマ及び前記第2のプラズマの少なくとも一方とは異なる第3のプラズマに前記基板を暴露するステップを更に備える請求項1に記載の方法。
  15. 前記SiN薄膜を形成するために追加の反応物質が使用されない請求項1に記載の方法。
  16. 前記反応空間から余剰な反応物質を除去するステップを更に備える請求項1に記載の方法。
  17. 前記SiN薄膜は、側壁及び上部領域を含む三次元構造で形成され、前記上部領域の前記SiN薄膜のウェットエッチング速度(WER)に対する前記側壁の前記SiN薄膜のウェットエッチング速度(WER)の比は、0.5%dHFにおいて0.75から1.5である請求項1に記載の方法。
  18. 前記SiN薄膜は、0.5%dHFにおいて5nm/min未満のブランケットウェットエッチング速度を有する、請求項1に記載の方法。
  19. 前記SiN薄膜のエッチング量は、0.5%dHF浸漬処理において熱SiO膜のエッチング量の1倍から2倍未満である、請求項1に記載の方法。
  20. 熱シリコン酸化膜のエッチング速度に対する前記SiN薄膜のエッチング速度のエッチング速度比は、0.5%HF水溶液において0.5未満である請求項1に記載の方法。
JP2022068713A 2014-09-17 2022-04-19 SiNの堆積 Active JP7158616B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462051867P 2014-09-17 2014-09-17
US62/051,867 2014-09-17
US201562180511P 2015-06-16 2015-06-16
US62/180,511 2015-06-16
JP2021119957A JP7062817B2 (ja) 2014-09-17 2021-07-20 SiNの堆積

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021119957A Division JP7062817B2 (ja) 2014-09-17 2021-07-20 SiNの堆積

Publications (2)

Publication Number Publication Date
JP2022089928A JP2022089928A (ja) 2022-06-16
JP7158616B2 true JP7158616B2 (ja) 2022-10-21

Family

ID=55455420

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2015183621A Active JP6752004B2 (ja) 2014-09-17 2015-09-17 SiNの堆積
JP2020137421A Active JP6919039B2 (ja) 2014-09-17 2020-08-17 SiNの堆積
JP2021119957A Active JP7062817B2 (ja) 2014-09-17 2021-07-20 SiNの堆積
JP2022068713A Active JP7158616B2 (ja) 2014-09-17 2022-04-19 SiNの堆積

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2015183621A Active JP6752004B2 (ja) 2014-09-17 2015-09-17 SiNの堆積
JP2020137421A Active JP6919039B2 (ja) 2014-09-17 2020-08-17 SiNの堆積
JP2021119957A Active JP7062817B2 (ja) 2014-09-17 2021-07-20 SiNの堆積

Country Status (4)

Country Link
US (5) US9576792B2 (ja)
JP (4) JP6752004B2 (ja)
KR (3) KR102243442B1 (ja)
TW (3) TWI728941B (ja)

Families Citing this family (336)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9355837B2 (en) * 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP6600074B2 (ja) * 2015-07-31 2019-10-30 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 窒化ケイ素膜を堆積するための組成物及び方法
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017056188A1 (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP6544232B2 (ja) * 2015-12-25 2019-07-17 東京エレクトロン株式会社 成膜方法及び成膜装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6656103B2 (ja) * 2016-07-15 2020-03-04 東京エレクトロン株式会社 窒化膜の成膜方法および成膜装置
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
WO2018016871A1 (ko) * 2016-07-22 2018-01-25 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
KR102014175B1 (ko) * 2016-07-22 2019-08-27 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
KR20180034798A (ko) * 2016-09-28 2018-04-05 삼성전자주식회사 유전막 형성 방법 및 반도체 장치의 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) * 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6733516B2 (ja) * 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10153156B2 (en) * 2016-12-15 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma enhanced atomic layer deposition
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6767885B2 (ja) * 2017-01-18 2020-10-14 東京エレクトロン株式会社 保護膜形成方法
KR101884555B1 (ko) 2017-02-07 2018-08-01 연세대학교 산학협력단 플라즈마 강화 원자층 증착을 이용한 금속 산화물막 증착 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6778144B2 (ja) * 2017-04-25 2020-10-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US20190067014A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
WO2019060069A1 (en) * 2017-09-21 2019-03-28 Applied Materials, Inc. HIGH FORM REPORT DEPOSIT
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11955331B2 (en) * 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) * 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11393674B2 (en) * 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7003011B2 (ja) 2018-07-27 2022-01-20 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及び成膜装置
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
KR20200030162A (ko) * 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN112930581A (zh) * 2018-10-19 2021-06-08 朗姆研究公司 沉积氮化硅膜的方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP7200880B2 (ja) * 2019-08-19 2023-01-10 東京エレクトロン株式会社 成膜方法及び成膜装置
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202117052A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 Peald氮化物膜
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US20220351940A1 (en) * 2019-11-08 2022-11-03 Lam Research Corporation Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
JP7300970B2 (ja) * 2019-11-19 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP7321085B2 (ja) 2019-12-26 2023-08-04 東京エレクトロン株式会社 膜形成方法及びシステム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20210094462A (ko) * 2020-01-20 2021-07-29 에이에스엠 아이피 홀딩 비.브이. 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114641A1 (en) * 2021-12-14 2023-06-22 Lam Research Corporation Conformal deposition of silicon nitride

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
JP2008517479A (ja) 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN低温堆積法
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2014015232A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications

Family Cites Families (188)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4683147A (en) 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
KR20010032764A (ko) 1997-12-02 2001-04-25 베리 아이클스 아이오도사일렌 전구체로부터 형성된 실리콘계 필름과 그제조방법
KR20010074387A (ko) 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
JP3420205B2 (ja) 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) * 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) * 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
CN100471991C (zh) 2002-10-18 2009-03-25 应用材料有限公司 采用硅化合物进行的含硅层沉积
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7524774B2 (en) 2003-09-26 2009-04-28 Tokyo Electron Limited Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, plasma nitridation method, computer recording medium, and program
JP4522916B2 (ja) 2005-06-27 2010-08-11 東京エレクトロン株式会社 プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
TW200603287A (en) 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060255315A1 (en) 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP4546519B2 (ja) 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
CN102884638A (zh) 2010-05-07 2013-01-16 应用材料公司 用于太阳能电池的背侧反射器的氧化物-氮化物堆栈
WO2011162136A1 (en) 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
KR20130044326A (ko) 2010-07-19 2013-05-02 알이씨 실리콘 인코포레이티드 다결정 실리콘 제조
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
TW201306082A (zh) 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
US9034774B2 (en) * 2011-04-25 2015-05-19 Tokyo Electron Limited Film forming method using plasma
KR101659463B1 (ko) 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
US9165761B2 (en) 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014060378A (ja) 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
JP2016509662A (ja) 2012-12-21 2016-03-31 アールイーシー シリコン インコーポレイテッド 流動床反応器のための高温グレードの鋼
CN103915341B (zh) 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
TW201441408A (zh) 2013-03-15 2014-11-01 Applied Materials Inc 包含氮化矽之膜的電漿輔助原子層沉積
US20140273530A1 (en) 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US11028477B2 (en) 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6584347B2 (ja) 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN110178201B (zh) 2017-01-13 2023-06-16 应用材料公司 用于低温氮化硅膜的方法及设备
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9984869B1 (en) 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11955331B2 (en) 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
WO2019212938A1 (en) 2018-04-29 2019-11-07 Applied Materials, Inc. Low temperature atomic layer deposition of silicon nitride
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
JP2008517479A (ja) 2004-10-20 2008-05-22 アプライド マテリアルズ インコーポレイテッド SiN低温堆積法
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
WO2013137115A1 (ja) 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2014015232A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications

Also Published As

Publication number Publication date
TW202100793A (zh) 2021-01-01
US20200365392A1 (en) 2020-11-19
US20170372886A1 (en) 2017-12-28
TWI707056B (zh) 2020-10-11
KR102546225B1 (ko) 2023-06-21
US10741386B2 (en) 2020-08-11
JP2020191473A (ja) 2020-11-26
US9576792B2 (en) 2017-02-21
JP2016063232A (ja) 2016-04-25
KR102243442B1 (ko) 2021-04-23
JP6752004B2 (ja) 2020-09-09
JP7062817B2 (ja) 2022-05-06
US11367613B2 (en) 2022-06-21
JP2021180323A (ja) 2021-11-18
KR102317181B1 (ko) 2021-10-26
KR20210129625A (ko) 2021-10-28
TW202115275A (zh) 2021-04-16
US20160079054A1 (en) 2016-03-17
US10262854B2 (en) 2019-04-16
TWI728941B (zh) 2021-05-21
KR20160033057A (ko) 2016-03-25
KR20210045970A (ko) 2021-04-27
US20180068844A1 (en) 2018-03-08
US20190295838A1 (en) 2019-09-26
TW201617471A (zh) 2016-05-16
JP2022089928A (ja) 2022-06-16
JP6919039B2 (ja) 2021-08-11
TWI716334B (zh) 2021-01-11

Similar Documents

Publication Publication Date Title
JP7158616B2 (ja) SiNの堆積
JP7383106B2 (ja) 低温でのSiNの堆積用Si前駆体
TWI789733B (zh) 在反應空間中在基板上形成氮化矽薄膜的方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220517

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220517

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220818

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220913

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221011

R150 Certificate of patent or registration of utility model

Ref document number: 7158616

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150