TW201740435A - 微體積沉積腔室 - Google Patents

微體積沉積腔室 Download PDF

Info

Publication number
TW201740435A
TW201740435A TW106110409A TW106110409A TW201740435A TW 201740435 A TW201740435 A TW 201740435A TW 106110409 A TW106110409 A TW 106110409A TW 106110409 A TW106110409 A TW 106110409A TW 201740435 A TW201740435 A TW 201740435A
Authority
TW
Taiwan
Prior art keywords
ring
retaining ring
processing chamber
processing
upper cover
Prior art date
Application number
TW106110409A
Other languages
English (en)
Other versions
TWI727024B (zh
Inventor
道爾R 督波斯
卡希克 加納基拉曼
基恩N 祝
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201740435A publication Critical patent/TW201740435A/zh
Application granted granted Critical
Publication of TWI727024B publication Critical patent/TWI727024B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

在此描述具有上蓋、基板支座及內擋環的處理腔室,該上蓋具有下表面,該基板支座具有面向該上蓋的上表面,該內擋環在該基板支座及該上蓋之間。在此描述使用該處理腔室的方法。

Description

微體積沉積腔室
本揭示內容的實施例一般涉及一種用於半導體處理的設備及方法。更具體而言,本揭示內容的實施例涉及微體積沉積腔室及使用方法。
原子層沉積是半導體產業中常用於形成薄膜的處理。典型的處理包括:在反應器中放置基板及提供第一前驅物至反應器。第一前驅物在基板表面上沉積第一物種直到第一物種使表面飽和,隨後沉積停止。第二前驅物接著被提供至腔室。第二前驅物與覆蓋在基板表面上的第一物種反應,直到沒有更多的第一物種可用於反應,隨後沉積停止。此類循環被重複直到形成期望厚度的層為止。腔室通常在前驅物之間被清除,以在基板上提供受控制的分層。
原子層沉積處理對於形成具有非常均勻之厚度及組成物是有用的,因為沉積反應在分子或原子等級被控制。第一物種僅在可取得黏合部位時附著在基板表面。第一物種的每個實例皆以相同的方式附著到基板表面,使得第一物種可與第二前驅物以相同的方式來參與反應。
在每個沉積運作中沉積的層是單分子或單原子。通常,所沉積在物種不會比小分子大。因此,每個所沉積的層通常具有5埃或更小的厚度。由兩個前驅物運作及兩個清除運作的每個循環可能需要一分鐘來執行。涉及兩個以上的前驅物的更複雜的循環可能需要更久。形成50埃至100埃厚的層可能需要10至20分鐘。為了改善原子層沈積(ALD)的處理速率,一或更多個前驅物可被活化,例如透過形成電漿來活化,例如。前驅物流入腔室,且接著形成電漿來活化沉積。電漿通常在前驅物的沉積完成時被中止。氣體的快速循環促進了高產量。因此,持續地需要用於PEALD處理中的快速循環處理的設備及方法。
本揭示內容的一或更多個實施例涉及處理腔室,該處理腔室包括上蓋、基板支座及內擋環。上蓋具有下表面。基板支座具有上表面,該上表面面對該上蓋的下表面。內擋環包括複數個開口,且被定位在上蓋的下表面與基板支座的上表面之間。
本揭示內容的額外實施例涉及處理腔室,該處理腔室包括側壁、下壁及上蓋。上蓋具有下表面。側壁具有真空氣室及開口,該開口在真空氣室及處理腔室的內部體積之間形成流體連接。在處理腔室中的基板支座具有上表面,該上表面面對上蓋的下表面。基板支座是可移動的,使得該上表面可經移動以更鄰近或更遠離上蓋的下表面。襯墊鄰近於側壁且具有延伸部,該延伸部徑向向內延伸。處理腔室包括外擋環及內擋環。外擋環包括複數個開口,該等開口與側壁中的開口對準。內擋環包括複數個開口,且被定位在上蓋的下表面與基板支座的上表面之間。內擋環可在裝載位置與處理位置之間移動,其中在該裝載位置中,內擋環接觸襯墊的延伸部。
本揭示內容的進一步實施例涉及一種處理腔室,該處理腔室包括形成該處理腔室的內部體積的側壁、下壁及上蓋。上蓋包括噴淋頭並具有下表面。側壁具有真空氣室及開口,該開口在真空氣室及處理腔室的內部體積之間形成流體連接。處理腔室包括基板支座、邊緣環、襯墊、外擋環及內擋環。基板支座具有上表面,該上表面面對上蓋的下表面。基板支座可經移動以使該上表面更鄰近或更遠離上蓋的下表面。邊緣環在基板支座上,且該邊緣還經設定尺寸以與基板的外邊緣周圍配合。襯墊鄰近於側壁,並具有徑向向內延伸的延伸部。外擋環位於固定位置中,且包括複數個開口,該等開口與側壁中的開口對準。內擋環包括複數個開口,且定位在上蓋的下表面與基板支座的上表面之間。內擋環可在裝載位置與處理位置之間移動,其中在該裝載位置中,內擋環接觸襯墊的延伸部。基板支座的向上移動使得邊緣環接觸內擋環,並使內擋環升高離開延伸部以將內擋環移動到處理位置。外擋環具有內徑,該內徑經設定尺寸以與內擋環的外徑周圍及基板支座的至少一部分配合。當內擋環位於處理位置時,處理區域是由上蓋、內擋環及基板支座所定義。
本揭示內容的實施例涉及用於化學氣相沉積型之處理的氣體分配設備。本揭示內容的一或更多個實施例涉及結合所描述的氣體分配設備的原子層沉積處理及設備(亦稱為循環沉積)。所描述的氣體分配設備可被稱為或噴淋頭的氣體分配板,但本領域技術人員將意識到該設備之形狀並不需要為類似噴淋頭或板材。術語「噴淋頭」及「板材」不應被理解為限制本揭示內容的範疇。
本揭示內容的一些實施例涉及用於現有腔室的可改造方案,以允許使用快速清除及前驅物切換的電漿增強原子層沉積處理利用緊密耦合的快速致動隔膜閥實現快速循環。陶瓷(或其他材料)環可被用於降低處理體積。該環在晶圓轉移期間可安置在狹縫閥區域上方的襯墊上或接觸該襯墊;避免與轉移過程及硬體干擾。該環在移動期間可被基座加熱器拾起。該環可接觸定位在處理位置的面板中的O形環或密封環,以在晶圓及面板之間允許約2 mm至約8 mm範圍內的間隙。可透過面板的一側中的開口引入處理氣體,並在晶圓上方的處理體積中以交互流動圖案來使該處理器體分佈於整個晶圓。該氣體可透過該環被泵出。
本揭示內容的一些實施例有利地使處理腔室體積最小化,以允許更有效地利用前驅物。例如,高成本前驅物或電漿處理或二者,用更小的體積可能生產力更高。一些實施例有利地允許處理在較高壓力及/或較低溫度下,以高反應性且昂貴的前驅物運作。在一些實施例中,處理區域中的交互流動氣體分佈改變為噴淋頭式氣體分佈,以允許在環對面板介面處有或沒有環的情況下運作的能力。一些實施例允許透過改變環來改變處理體積。在一或更多個實施例中,該環可被改裝到現有的處理腔室中。
圖1是根據一個實施例的處理腔室100的剖面圖。處理腔室100具有腔室主體102及腔室蓋104,該腔室蓋耦合至腔室主體102以定義內部體積150。基板支座106設置在腔室100的內部體積150中。基板支座106的上表面166及腔室蓋104的下表面168定義處理區域108,在該處理區域中,設置在基板支座106的上表面166上的基板接觸區域176中的基板被暴露於處理環境。
基板透過腔室主體102中的基板通道110進出處理腔室100。基板支座106可沿著腔室100的縱軸(例如垂直軸)移動,以在基板搬運位置(如圖1所示)及基板處理位置(如在圖3所示)中交替地定位基板支座106,其中在該基板搬運位置中,基板支座106的上表面166鄰近通道110,且在該基板處理位置中,基板支座106的上表面166鄰近腔室蓋104的下表面168。基板支座106的軸桿172通常延伸穿過腔室主體102的下壁170中的開口120,並耦合至升舉機構(未顯示)來致動基板支座106的移動。
基板升降器112透過基板支座106設置。基板升降器112具有基板114,該基板接觸致動器116,該致動器設置在腔室100的內部體積150的下部區域中。致動器116可從下壁170由支撐構件118支撐。致動器116可為環形構件,例如環,且支撐構件118可為從致動器116突出的環形部。致動器116、支撐構件118或兩者可交替地進行分段。例如,該致動器或該支撐構件的任一或兩者可為分段的環形構件,或致動器116可為經定位以接合基板升降器112的基部114的墊、柱,或心軸。
支撐構件118使致動器116保持在與基板支座106的上表面166幾乎平行的關係。當基板支座106從處理位置移動到基板搬運位置時,基板升降器112的基部114接觸致動器116,造成基板升降器112突出穿過基板支座106的上表面166,並升舉定位在上表面166上的基板60以便基板搬運機器人(未顯示)透過通道110取得。圖1中只可看見兩個基板升降器112,但典型的實施例將具有三個或三個以上基板升降器112經分佈以提供用於基板搬運的穩定佈點。
腔室蓋104可為電極,且可耦合到RF電源174。若腔室蓋104是電極,則腔室蓋104將通常包括導電材料。腔室蓋104可完全或幾乎由導電材料製成,或可用導電材料塗覆。若腔室蓋104被用作為電極,則腔室蓋104的下表面168將是導電的,以提供RF耦合到鄰近基板基座106的上表面166的處理區域108中。在一個實施例中,腔室蓋104是鋁。
氣體歧管124在端口194耦合到腔室蓋104。處理氣體透過氣體管線128輸送到腔室。一些實施例的氣體歧管124包括一或更多個高速閥及前驅物源。高速閥控制經由氣體管線128進入腔室100的氣流。高速閥可為ALD閥,且在一些實施例中可為能夠在1秒內打開或關閉,且在一些情況下,在0.25秒內打開或關閉。前驅物線可耦合到一或更多個高速閥。其他高速閥可用於加入其他前驅物線以同時地或分離地透過氣體管線128提供一種以上的氣體。高速閥的運作可隨著腔室運作(例如ALD沉積循環)所需而允許氣流的快速切換。
氣體入口122可位於任何合適的位置。例如,氣體入口122可位於腔室蓋104的周邊區域,或在腔室蓋104的中心。腔室蓋104包括氣體噴淋頭105以輸送一或更多種反應氣體至處理區域108。噴淋頭105可為任何合適的氣體輸送裝置,包括但不限於,具有一個、兩個或兩個以上分離輸送通道的螺旋噴淋頭、具有氣體擴散器的渦流蓋及噴淋頭。各種實施例中的噴淋頭105以均勻的方式朝向基板60提供反應氣體或氣體的流動。在一些實施例中,噴淋頭105提供幾乎正交於基板表面的氣體流動。
邊緣環136繞著基板支座106的周邊區域設置。邊緣環136可為具有內部尺寸及外部尺寸的環狀構件。邊緣環136的內部尺寸可幾乎相同於基板接觸區域176的尺寸,使得設置在基板支座上的基板套置在邊緣環136內,如圖1所示。邊緣環136的內部尺寸亦可比基板接觸區域176的尺寸大。邊緣環136的內部尺寸亦可比基板接觸區域176小,使得邊緣環136的一部分延伸超過基板的邊緣。圖1的邊緣環136安置在基板支座106上,並可與基板支座106移動。在一些實施例中,邊緣環136不安置在基板支座106上,且該邊緣環可為固定的,或獨立地移動。
泵送氣室132位於腔室主體102的側壁178中,鄰近基板支座106的處理位置。泵送氣室132為環繞處理區域108的環形通道,其中在該泵送氣室中,處理氣體從處理區域108排出。襯墊134將泵送氣室132從處理區域108分離。襯墊134具有開口180,該開口允許處理氣體從處理區域108流入泵送氣室132。
本揭示內容的一些實施例結合了外擋環200及內擋環250,以使處理腔室的體積最小化。外擋環200及內擋環250亦可被用於增加氣體從噴淋頭105排氣流出處理腔室的阻力。在不受任何特定運作理論的拘束下,相信從噴淋頭105限制氣流排氣可允許減少處理區域108的體積。
圖2A及圖2B顯示來自圖1的區域2在基板支座106處於裝載位置(圖2A)時及在處理位置(圖2B)時的展開視圖。外擋環200可為固定的或可移動的。在所顯示的實施例中,外擋環200在固定位置中與噴淋頭105的下表面接觸。外擋環200包括複數個開口210,以允許氣流透過外擋環200。在使用中,外擋環200經定位使得至少某些開口210與襯墊134中的開口180對準。
外擋環200可具有任何合適的直徑及任何合適的高度,這取決於,例如,將被處理的基板之直徑及目標處理量。在一些實施例中,外擋環200具有範圍約25 mm至約1000 mm的內徑,或範圍約100 mm至約400 mm的內徑。在一些實施例中,外擋環200的內徑大致相同於被處理的基板的直徑。在這方面所使用的術語「幾乎相同」是指外擋環200的內徑是在基板的直徑±10 mm內。
一些實施例結合了內擋環250。在具有內擋環250的實施例中,外擋環200的內徑比內擋環250的外徑大,以允許內擋環250被移動到外擋環200內的位置。
外擋環200的壁的厚度亦可被修改以減少處理體積。較厚的壁將提供更小的內徑。在一些實施例中,外擋環200所具有的高度足以允許電漿在處理區域108內被引燃。
在使用中,當基板支座106位於處理位置時,開口180可位於鄰近基板支座106的上表面166處。在一些實施例中,在外擋環200中的開口210與襯墊134中的開口180對準。在一些實施例中,在外擋環200中的開口210與襯墊134中的開口180不對準,以對流過外擋環200中的開口210的氣體增加阻力。
在使用中,基板支座106可被升高,使得基板支座106的上表面166是鄰近或接觸外擋環200的下邊緣220。外擋環200可對處理區域108形成環形邊界,以允許反應氣體流過開口210。
在一些實施例中,噴淋頭105是用於產生電漿的電極。當外擋環200接合電極時,外擋環200在基板正上方小於約10 mm處繞著基板60形成最小體積反應空間。對於300 mm圓形基板而言,一些實施例的反應體積不超過約250 ml、225 ml、200 ml、175 ml、150 ml、125 ml或100 ml。小的反應體積有助於促進ALD處理的氣體快速切換。
所顯示的實施例包括襯墊134的延伸部190,該延伸部徑向向內延伸並對外擋環200提供支撐。在一些實施例中,外擋環200連接至噴淋頭。
在外擋環200中的開口210的數量及尺寸可做變化。更多的開口210將比具有相同尺寸的較少開口210之相似環允許更多來自處理區域108的氣流。開口的數量可經調整以改變流動阻力。類似地,較小的開口210將比較大的開口產生更大的阻力。
一些實施例中,如圖式所顯示,除了包含外擋環200外還包括內擋環250。內擋環250可從圖2A中所顯示的第一位置移動到圖2B所顯示的外擋環200中的第二位置。內擋環250包括複數個開口260,以允許氣流透過內擋環250。在一些實施例中,內擋環250經定位以使得至少一些開口260與外擋環200中的開口210及在襯墊134中的開口180對準。
內擋環250可具有任何合適的直徑及任何合適的高度,這取決於,例如,待處理的基板之直徑及目標處理體積。在一些實施例中,內擋環250具有範圍約25 mm至約1000 mm的內徑,或範圍約100 mm至約400 mm的內徑。在一或更多個實施例中,內擋環250的內徑大於待處理的基板之直徑大於或等於約10 mm。在一些實施例中,內擋環250的內徑以大於或等於約10 mm、15 mm、20 mm或25 mm的量大於待處理的基板之直徑。在一些實施例中,內擋環250的內徑幾乎相同於被處理的基板的直徑。在這方面所使用的術語「幾乎相同」是指外擋環200的內徑是在基板的直徑±10 mm內。在一些實施例中,內擋環250的外徑小於外擋環200的內徑,使得內擋環250可被移動到外擋環200內的位置,而不接觸外擋環200。
內擋環250的壁的厚度亦可經改變以減少處理體積。較厚的壁將提供更小的內徑。在一些實施例中,內擋環250所具有的高度足以允許電漿在處理區域108內被引燃。在使用中,內擋環250可被用於減少處理區域108的體積。基板支座106的上表面166與噴淋頭105的下表面168之間的距離定義了處理區域108的高度。內擋環250可定義處理區域108的高度,因為基板支座106無法移動到比內擋環250的高度更靠近噴淋頭105。一些實施例的內擋環250的高度大於或等於約1/100的待處理基板的直徑。例如,若300 mm的基板正被處理,則內擋環250的最小高度約為3 mm。在一些實施例中,內擋環250的高度大於或等於約0.5 mm、1 mm、1.5 mm、2 mm、2.5 mm、3 mm、3.5 mm、4 mm、4.5 mm或5 mm。在一些實施例中,內擋環250以大於或等於約0.5 mm、1 mm、1.5 mm、2 mm、2.5 mm、3 mm、3.5 mm、4 mm、4.5 mm或5 mm的高度定位在待處理的基板上方。
內擋環250及外擋環200可由任何合適的材料製成,這取決於,例如,處理溫度及反應氣體。在一些實施例中,內擋環250及外擋環200獨立地從金屬、塑料或陶瓷材料中選擇。合適的範例包括但不限於,鋁、鈦、不銹鋼、PEEK、Torlon、Vespel、氧化鋁、氮化鋁及氧化鋯。
在使用中,基板支座106可被升高,使得基板支座106的上表面166是鄰近或接觸外擋環200的下邊緣220。在升高期間,基板支座106可接觸內擋環250的下邊緣270。基板支座106可接著將內擋環250升高到處理的位置。在處理期間,內擋環250可對處理區域108形成環形邊界,以允許反應氣體透過開口260流入內擋環250及外擋環200之間的區域。氣體可接著從環之間的區域流過外擋環200中的開口210。
在所顯示的實施例中,在裝載位置中的內擋環250是由延伸部190所支撐。此舉可用來確保內擋環250在基板60的裝載及卸載期間不會擋道。
內擋環250可經改變以減少或增加處理體積。例如,若將使用昂貴的前驅物,則內擋環250可與具有較小高度或內徑的內擋環交換,以減少反應體積。當移動到處理位置時,內擋環250及基板支座106可移動到外擋環200內,使得內擋環250定義處理區域108的環形邊緣。
密封件可在處理腔室的部件之間形成,以形成隔離的處理區域108。密封件可在內擋環250及噴淋頭105之間形成、在內擋環250及基板支座106之間形成、在外擋環200及噴淋頭105之間形成、在外擋環200及基板支座106之間形成,或在其組合處形成。例如,密封件在上方可在內擋環250及噴淋頭105之間形成,在下方可在內擋環250及基板支座106之間形成,以隔離內部的處理區域108。
圖3顯示實施例,其中面密封件在內擋環250及噴淋頭105之間形成。密封環255定位在內擋環250的頂邊緣252及噴淋頭的下表面168之間。密封環255可定位在凹槽或環形通道254內,該凹槽或環形通道形成於內擋環250的頂邊緣252中。類似地,凹槽或環形通道可形成在噴淋頭105的下表面168中。環形通道254可經設定尺寸以固定密封環255,同時允許密封環在被壓縮時的擴展。
在圖4所顯示的實施例中,密封環255定位於外擋環200的外周邊緣203及襯墊134的面135之間,以形成活塞或唇形密封件。密封環255可固定在襯墊134的面135中的位置,以允許外擋環200垂直移動,同時保持密封。類似地,如本領域技術人員將理解到,密封件可形成在內擋環250的外周邊緣及外擋環200的內面之間。
在一些實施例中,多個密封環255經定位以提供多餘的密封件。圖1、圖2A及圖2B顯示具有兩個密封環255的實施例。一些實施例的噴淋頭105包括凹部290,該凹部經設定尺寸以配合地與內擋環250的頂邊緣252相互作用。凹部290包括兩個密封環255,該等密封環定位在分離的環形通道107a、107b中。內環形通道107a具有比外環形通道107b更小的直徑。內環形通道107a及外環形通道107b可為同心的。所顯示的內擋環250的頂邊緣252具有兩個突部257,該等突部間隔開來,以與環形通道107a、107b對準。當內擋環250升高時,突部257接觸環形通道107a、107b內的密封環255,以形成密封。若內環形通道107a中的密封環255故障時,外環形通道107b中的密封環255可保持密封。
圖5顯示替代實施例,其中密封環285經定位以接觸基板支座106的上表面166。如所顯示地,密封環285可包括彈性突部286,該彈性突部可接觸基板支座106。密封環285可定位在開口180的下邊緣處,該開口在處理區域108及氣室132之間形成流體連接,該氣室分離上襯墊134a及下襯墊134b。在此位置中,密封環285有助於形成處理區域108的下邊緣,使得透過氣室到真空的連接是位於處理區域108的下邊緣。
密封環285可由任何合適的材料製成,並具有任何合適的尺寸。在一些實施例中,密封環285包括氮化鋁。在一些實施例中,彈性突部286具有範圍約0.1 mm至約0.3 mm的厚度。
在整份本說明書可能遇到的術語「上」、「下」、「頂部」及「底部」,是相對於被描述的裝置的定向之方向描述,且並非意圖將所描述的裝置限制到任何絕對的定向。
所描述的設備可用於在電漿增強原子層沉積(PEALD)處理期間形成一或更多個層。在一些處理中,電漿的使用提供了足夠的能量以促使物種進入激發狀態,其中表面反應變得適宜且可能發生。電漿可連續地或脈衝地引入到處理中。在一些實施例中,前驅物(或反應氣體)及電漿的連續脈衝被用於處理層。在一些實施例中,試劑可局部地離子化(亦即,在處理區域內)或者遠端地(亦即,在處理區域外)離子化。遠端離子化可發生在沉積腔室的上游,使得離子或其他高能或發光物種不與沉積層直接接觸。在一些PEALD處理中,電漿是產生於處理腔室的外部,例如透過遠端電漿產生器系統所產生。電漿可透過本領域技術人員已知的任何合適的電漿產生處理或技術來產生。例如,可由一或更多個微波(MW)頻率產生器或射頻(RF)發生器來產生電漿。電漿的頻率可根據所使用的具體反應物種來調整。合適的頻率包括,但不限於,2 MHz、13.56 MHz、40 MHz、60 MHz及100 MHz。儘管可於在此揭示的沉積處理期間使用電漿,應注意到,電漿可能是不必要的。
根據一或更多個實施例,基板可在使用於設備中之前及/或之後進行處理。可在同一個腔室中或在一或更多個分離的處理腔室中執行此處理。在一些實施例中,基板從第一腔室被移動到分離的第二腔室,以便進一步處理。基板可直接從第一腔室移動到分離的處理腔室中,或者該基板可從第一腔室移動到一或更多個傳送腔室,接著移動到所期望的分離處理腔室。從而,處理設備可包括多個腔室,該等多個腔室與傳送站連通。這類的設備可被稱為「群集工具」或「集群系統」及類者。
一般而言,群集工具是包括多個腔室的模組系統,該等腔室執行各種功能,包括基板中心尋找及定向、脫氣、退火、沉積及/或蝕刻。根據一或更多個實施例,群集工具至少包括第一腔室及中央傳送腔室。中央傳送腔室可容納機器人,該機器人可使基板穿梭在處理腔室及裝載鎖定腔室之間。傳送腔室通常保持在真空狀態,並提供中間階段以使基板從一個腔室穿梭到另一個腔室及/或穿梭到定位在群集工具的前端的裝載鎖定腔室。兩個可適用於本揭示內容的習知群集工具為Centura®及Endura®,其兩者皆可從加利福尼亞州聖克拉拉市的應用材料公司取得。然而,腔室的確切安排及組合可被改變,以用於執行本文所描述的具體處理步驟之目的。可使用的其他處理腔室包括但不限於,循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、諸如RTP的熱處理、電漿氮化、脫氣、定向、羥基化及其他基板處理。透過在群集工具的腔室中進行處理,可在沉積後續薄膜之前不經氧化而避免具有大氣雜質的基板表面汙染。
根據一或更多個實施例,基板是持續在真空或「裝載鎖定」的條件下,且當該基板從一個腔室移動到下一個腔室時,不會暴露於環境空氣。傳送腔室因此處於真空下,並在真空壓力下被「向下泵送」。惰性氣體可存在於處理腔室或傳送腔室中。在一些實施例中,惰性氣體被用作為清除氣體,以在基板的表面上形成矽層後,移除部分或全部的反應物。根據一或更多個實施例,清除氣體注入於沉積腔室的出口,以防止反應物從沉積腔室移動到傳送腔室及/或額外的處理腔室。因此,惰性氣體的流動在腔室的出口處形成了幕。
基板可利用例如所述的設備以在單一基板沉積腔室中處理。在此類腔室中,單一個基板在另一個基板被處理之前裝載、處理及卸載。基板亦可由類似輸送機系統的連續方式進行處理,其中多個基板獨立裝載到腔室的第一部分,移動透過腔室,並從腔室的第二部分卸載。腔室的形狀及相關聯的輸送機系統可形成直線路徑或彎曲路徑。此外,處理腔室可為旋轉料架,其中在該旋轉料架中,多個基板繞著中心軸移動並在旋轉料架路徑的過程中暴露於沉積、蝕刻、退火、清潔等處理。
在處理期間,基板可被加熱或冷卻。此類加熱或冷卻可由任何合適的手段達成,包括但不限於,改變基板支座的溫度及使加熱或冷卻的氣體流至基板表面。在一些實施例中,基板支座包括加熱器/冷卻器,其可經控制以傳導式地改變基板溫度。在一或更多個實施例中,所採用的氣體(無論是反應氣體或惰性氣體)被加熱或冷卻,以局部地改變基板溫度。在一些實施例中,加熱器/冷卻器定位在相鄰於基板表面的腔室中,以對流地改變基板溫度。
基板在處理期間亦可為靜止的或轉動的。旋轉基板可連續地旋轉或以離散步驟旋轉。例如,基板可在整個過程中被旋轉,或者基板可在暴露於不同反應氣體或清除氣體之間少量地旋轉。在處理期間旋轉(連續地或步驟地)可能透過,例如,使氣流幾何形狀的局部可變性最小化,以協助產生更均勻的沉積或蝕刻。
儘管在此的揭示內容已參照特定實施例描述,但應理解到,該等實施例僅說明本揭示內容的原理及應用。本領域技術人員將明白可對本揭示內容的方法及設備做各種修改及變化而不脫離本揭示內容的精神及範疇。因此,本揭示內容意圖包括位在所附隨的請求項之範疇內的修改及變化,以及其等同物。
2‧‧‧區域
60‧‧‧基板
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧腔室蓋
105‧‧‧噴淋頭
106‧‧‧基板支座
107a‧‧‧環形通道
107b‧‧‧環形通道
110‧‧‧基板通道
112‧‧‧基板升降器
114‧‧‧基部
116‧‧‧致動器
118‧‧‧支撐構件
120‧‧‧開口
124‧‧‧氣體歧管
128‧‧‧氣體管線
132‧‧‧泵送氣室
134‧‧‧襯墊
134a‧‧‧上襯墊
134b‧‧‧下襯墊
135‧‧‧面
136‧‧‧邊緣環
150‧‧‧內部體積
166‧‧‧上表面
168‧‧‧下表面
170‧‧‧下壁
172‧‧‧軸桿
174‧‧‧RF電源
176‧‧‧基板接觸區域
178‧‧‧側壁
180‧‧‧開口
190‧‧‧延伸部
194‧‧‧端口
200‧‧‧外擋環
203‧‧‧外周邊緣
210‧‧‧開口
220‧‧‧下邊緣
250‧‧‧內擋環
252‧‧‧頂邊緣
254‧‧‧環形通道
255‧‧‧密封環
257‧‧‧突部
260‧‧‧開口
270‧‧‧下邊緣
285‧‧‧密封環
286‧‧‧彈性突部
290‧‧‧凹部
為了能取得並詳細理解本揭示內容的上述特徵,本揭示內容在以上簡要總結的更具體描述可參考其實施例,其中實施例繪示於附圖中。然而應注意到,附圖僅繪示本揭示內容的典型實施例,且因此不應被認定為限制本揭示內容的範疇,因為本揭示內容可承認其他等效的實施例。
圖1是根據一個實施例的處理腔室的剖面圖;
圖2A及圖2B是圖1的腔室部分的詳細視圖;
圖3是根據本揭示內容的一或更多個實施例的處理腔室的局部剖面圖;
圖4是根據本揭示內容的一或更多個實施例的處理腔室的局部剖面圖;及
圖5是根據本揭示內容的一或更多個實施例的處理腔室的局部剖視圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無
2‧‧‧區域
60‧‧‧基板
100‧‧‧處理腔室
102‧‧‧腔室主體
104‧‧‧腔室蓋
105‧‧‧噴淋頭
106‧‧‧基板支座
110‧‧‧基板通道
112‧‧‧基板升降器
114‧‧‧基部
116‧‧‧致動器
118‧‧‧支撐構件
120‧‧‧開口
124‧‧‧氣體歧管
128‧‧‧氣體管線
132‧‧‧泵送氣室
134‧‧‧襯墊
136‧‧‧邊緣環
150‧‧‧內部體積
166‧‧‧上表面
168‧‧‧下表面
170‧‧‧下壁
172‧‧‧軸桿
174‧‧‧RF電源
176‧‧‧基板接觸區域
178‧‧‧側壁
180‧‧‧開口
190‧‧‧延伸部
194‧‧‧端口
200‧‧‧外擋環
210‧‧‧開口
220‧‧‧下邊緣
250‧‧‧內擋環
255‧‧‧密封環
260‧‧‧開口
270‧‧‧下邊緣
290‧‧‧凹部

Claims (20)

  1. 一種處理腔室,包括: 一上蓋,該上蓋具有一下表面;一基板支座,該基板支座具有一上表面,該上表面面向該上蓋的該下表面;及一內擋環,該內擋環包括複數個開口,該內擋環定位在該上蓋的該下表面及該基板支座的該上表面之間。
  2. 如請求項1所述之處理腔室,其中該內擋環可在一裝載位置及一處理位置之間移動。
  3. 如請求項2所述之處理腔室,進一步包括一真空襯墊,該真空襯墊環繞該處理腔室的一外邊緣,該真空襯墊包括一延伸部,當該內擋環位於該裝載位置中時,該延伸部支撐該內擋環。
  4. 如請求項3所述之處理腔室,其中該基板支座的向上移動造成該基板支座的該上表面接觸該內擋環,並將該內擋環升高離開該延伸部,以移動到該處理位置。
  5. 如請求項4所述之處理腔室,其中該基板支座包括一邊緣環,該邊緣環經定位以接觸該內擋環。
  6. 如請求項1所述之處理腔室,進一步包括一真空氣室及一開口,該真空氣室位在該處理腔室的一側壁中,該開口在該真空氣室及一處理區域之間形成流體連接,該處理區域由該上蓋、該內擋環及該基板支座所定義。
  7. 如請求項6所述之處理腔室,其中該側壁中的該開口是與該內擋環中的至少一個開口幾乎對準。
  8. 如請求項2所述之處理腔室,其中該上蓋進一步包括一凹部,該凹部經設定尺寸以在該內擋環位於該處理位置時,配合地與該內擋環的一頂邊緣相互作用。
  9. 如請求項8所述之處理腔室,其中該上蓋的該凹部包括至少一個密封環,以在該內擋環位於該處理位置時,在該上蓋與該內擋環之間形成一密封。
  10. 如請求項2所述之處理腔室,進一步包括一外擋環,該外擋環具有複數個開口及一內徑,該內徑大於該內擋環的一外徑。
  11. 如請求項10所述之處理腔室,其中該外擋環固定在位置中,使得當該內擋環位於該處理位置時,該內擋環是在該外擋環之內。
  12. 如請求項10所述之處理腔室,其中該外擋環具有一內徑,該內徑經設定尺寸以配合該基板支座的周圍。
  13. 如請求項10所述之處理腔室,其中該複數個開口與該處理腔室的一側壁中的一開口對準,該開口與該側壁中的一真空氣室流體連接。
  14. 一種處理腔室,包括: 一側壁、一下壁及一上蓋,該上蓋具有一下表面,該側壁具有一真空氣室及一開口,該開口在該真空氣室及該處理腔室的一內部體積之間形成流體連接; 一基板支座,該基板支座具有一上表面,該上表面面向該上蓋的該下表面,該基板支座可移動以使得該上表面可經移動以更靠近或更遠離該上蓋的該下表面; 一襯墊,該襯墊相鄰於該側壁且具有一延伸部,該延伸部向內徑向延伸; 一外擋環,該外擋環包括複數個開口,該等開口與該側壁中的該開口對準;及 一內擋環,該內擋環包括複數個開口,該內擋環定位在該上蓋的該下表面及該基板支座的該上表面之間,該內擋環可在一裝載位置及一處理位置之間移動,其中在該裝載位置中,該內擋環接觸該襯墊的該延伸部。
  15. 如請求項14所述之處理腔室,其中該基板支座的向上移動造成該基板支座的該上表面接觸該內擋環並將該內擋環升高離開該延伸部,以移動到該處理位置。
  16. 如請求項15所述之處理腔室,其中該基板支座包括一邊緣環,該邊緣環經定位以接觸該內擋環。
  17. 如請求項14所述之處理腔室,其中該上蓋進一步包括一凹部,該凹部經設定尺寸以在該內擋環位於該處理位置時,配合地與該內擋環的一頂邊緣相互作用。
  18. 如請求項17所述之處理腔室,其中該上蓋的該凹部包括至少一個密封環,以在該內擋環位於該處理位置時,在該上蓋與該內擋環之間形成一密封。
  19. 如請求項14所述之處理腔室,其中該外擋環具有一內徑,該內徑經設定尺寸以配合該基板支座的周圍。
  20. 一種處理腔室,包括: 形成該處理腔室的一內部體積的一側壁、一下壁及一上蓋,該上蓋包括一噴淋頭且具有一下表面,該側壁具有一真空氣室及一開口,該開口在該真空氣室及該處理腔室的該內部體積之間形成流體連接; 一基板支座,該基板支座具有一上表面,該上表面面向該上蓋的該下表面,該基板支座可移動以使得該上表面可經移動以更靠近或更遠離該上蓋的該下表面; 一邊緣環,該邊緣環位於該基板支座上,該邊緣環經設定尺寸以配合一基板的一外邊緣周圍; 一襯墊,該襯墊相鄰於該側壁且具有一延伸部,該延伸部向內徑向延伸; 一外擋環,該外擋環位於一固定位置中,該外擋環包括複數個開口,該等開口與該側壁中的該開口對準;及 一內擋環,該內擋環包括複數個開口,該內擋環定位在該上蓋的該下表面及該基板支座的該上表面之間,該內擋環可在一裝載位置及一處理位置之間移動,其中在該裝載位置中,該內擋環接觸該襯墊的該延伸部, 其中該基板支座的向上移動造成該邊緣環接觸該內擋環並將該內擋環升高離開該延伸部,以移動到該處理位置,該外擋環具有一內徑,該內徑經設定尺寸以配合該內擋環的一外徑周圍以及該基板支座的至少一部分,且當該內擋環位於該處理位置時,一處理區域是由該上蓋、該內擋環及該基板支座所定義。
TW106110409A 2016-04-15 2017-03-29 微體積沉積腔室 TWI727024B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662322907P 2016-04-15 2016-04-15
US62/322,907 2016-04-15

Publications (2)

Publication Number Publication Date
TW201740435A true TW201740435A (zh) 2017-11-16
TWI727024B TWI727024B (zh) 2021-05-11

Family

ID=60039410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106110409A TWI727024B (zh) 2016-04-15 2017-03-29 微體積沉積腔室

Country Status (5)

Country Link
US (1) US10711347B2 (zh)
KR (1) KR102305854B1 (zh)
CN (1) CN109075024B (zh)
TW (1) TWI727024B (zh)
WO (1) WO2017180856A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11220747B2 (en) 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
US11664247B2 (en) 2020-10-16 2023-05-30 Applied Materials, Inc. Dynamic interface for providing a symmetric radio frequency return path

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
JP2019109980A (ja) * 2017-12-15 2019-07-04 株式会社日立ハイテクノロジーズ プラズマ処理装置
SG11202101649WA (en) * 2018-09-28 2021-04-29 Applied Materials Inc Coaxial lift device with dynamic leveling
CN113169101B (zh) * 2019-01-08 2022-09-30 应用材料公司 用于基板处理腔室的泵送设备与方法
CN109817554B (zh) * 2019-01-31 2020-12-25 武汉华星光电半导体显示技术有限公司 一种气体扩散器
EP4013905B1 (en) 2019-08-12 2023-02-22 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
TW202133365A (zh) 2019-09-22 2021-09-01 美商應用材料股份有限公司 使用具有可調式泵的處理腔室蓋的ald循環時間縮減

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
TW499504B (en) * 1999-09-09 2002-08-21 Yu-Tsai Liu Single chamber processing apparatus having multi-chamber functions
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP2009503875A (ja) * 2005-07-29 2009-01-29 アヴィザ テクノロジー インコーポレイテッド ガスマニホルドバルブクラスタ
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
KR100773724B1 (ko) * 2006-08-23 2007-11-06 주식회사 아이피에스 박막증착장치
JP2010524225A (ja) 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US20110136346A1 (en) 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US20120009765A1 (en) * 2010-07-12 2012-01-12 Applied Materials, Inc. Compartmentalized chamber
JP2013008949A (ja) * 2011-05-26 2013-01-10 Hitachi Kokusai Electric Inc 基板載置台、基板処理装置及び半導体装置の製造方法
KR20130115849A (ko) * 2012-04-13 2013-10-22 삼성전자주식회사 반도체 소자 제조 설비
US20140051253A1 (en) * 2012-08-14 2014-02-20 Lam Research Corporation Plasma baffle ring for a plasma processing apparatus and method of use
US20140127911A1 (en) 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
JP6045610B2 (ja) * 2013-01-24 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2015145663A1 (ja) * 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11220747B2 (en) 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
TWI754180B (zh) * 2018-10-29 2022-02-01 美商應用材料股份有限公司 用於形成薄膜的處理腔室與方法
US11664247B2 (en) 2020-10-16 2023-05-30 Applied Materials, Inc. Dynamic interface for providing a symmetric radio frequency return path
TWI810678B (zh) * 2020-10-16 2023-08-01 美商應用材料股份有限公司 基板處理系統與方法

Also Published As

Publication number Publication date
WO2017180856A1 (en) 2017-10-19
CN109075024B (zh) 2023-06-06
US20170298509A1 (en) 2017-10-19
US10711347B2 (en) 2020-07-14
KR102305854B1 (ko) 2021-09-27
TWI727024B (zh) 2021-05-11
CN109075024A (zh) 2018-12-21
KR20180126086A (ko) 2018-11-26

Similar Documents

Publication Publication Date Title
TWI727024B (zh) 微體積沉積腔室
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
US11742189B2 (en) Multi-zone reactor, system including the reactor, and method of using the same
TWI671792B (zh) 基板處理設備
US9490149B2 (en) Chemical deposition apparatus having conductance control
US9617640B2 (en) Apparatus and methods for injector to substrate gap control
JP6924136B2 (ja) 空間的原子層堆積におけるガス分離制御
CN212542358U (zh) 喷头
EP1913172A2 (en) Gas manifold valve cluster
US11420217B2 (en) Showerhead for ALD precursor delivery
TWI741093B (zh) 時間性原子層沉積處理腔室
TW201404925A (zh) 使用快速熱處理之原子層沉積
TWI567228B (zh) 成膜裝置、成膜方法及非暫時性記憶媒體
JP2024037816A (ja) 裏側ポンピングを用いた熱処理チャンバのリッド
JP2016156066A (ja) 成膜装置、成膜方法及び記憶媒体
KR20230088467A (ko) 열적 균일 증착 스테이션
US10655222B2 (en) Thin film encapsulation processing system and process kit
KR101878268B1 (ko) 박막증착장치 및 박막증착장치의 제어방법