KR20220046004A - 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들 - Google Patents

공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들 Download PDF

Info

Publication number
KR20220046004A
KR20220046004A KR1020227010807A KR20227010807A KR20220046004A KR 20220046004 A KR20220046004 A KR 20220046004A KR 1020227010807 A KR1020227010807 A KR 1020227010807A KR 20227010807 A KR20227010807 A KR 20227010807A KR 20220046004 A KR20220046004 A KR 20220046004A
Authority
KR
South Korea
Prior art keywords
support
heater
heaters
support assembly
central base
Prior art date
Application number
KR1020227010807A
Other languages
English (en)
Other versions
KR102614522B1 (ko
Inventor
마이클 라이스
조셉 에부촌
산지브 발루자
만디암 스리람
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237021324A priority Critical patent/KR20230100751A/ko
Publication of KR20220046004A publication Critical patent/KR20220046004A/ko
Application granted granted Critical
Publication of KR102614522B1 publication Critical patent/KR102614522B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

하나 이상의 웨이퍼들을 프로세싱하기 위한 장치 및 방법들이 설명된다. 복수의 프로세스 스테이션들이 회전 축 주위에 원형 구성으로 배열된다. 회전 축을 정의하는 회전가능 중앙 베이스, 중앙 베이스로부터 연장되는 적어도 2개의 지지 암들, 및 지지 암들 각각 상의 가열기들을 갖는 지지 조립체는, 하나 이상의 프로세스 조건을 수행하기 위해 가열기들이 다양한 프로세스 스테이션들 사이에서 이동될 수 있도록, 프로세싱 스테이션들에 인접하게 포지셔닝된다.

Description

공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들{SINGLE WAFER PROCESSING ENVIRONMENTS WITH SPATIAL SEPARATION}
[0001] 본 개시내용은 일반적으로, 박막들을 증착하기 위한 장치에 관한 것이다. 특히, 본 개시내용은, 복수의 이동가능 가열 웨이퍼 지지부들 및 공간적으로 분리된 프로세싱 스테이션들을 갖는 장치에 관한 것이다.
[0002] 현재의 ALD(atomic layer deposition) 프로세스들은 다수의 잠재적인 문제들 및 어려움들을 갖는다. 다수의 ALD 케미스트리(chemistry)들(예컨대, 전구체들 및 반응물들)은 "양립 불가능"하며, 이는 케미스트리들이 함께 혼합될 수 없음을 의미한다. 양립 불가능한 케미스트리들이 혼합되는 경우, ALD 프로세스 대신에 CVD(chemical vapor deposition) 프로세스가 발생할 수 있다. CVD 프로세스는 일반적으로, ALD 프로세스보다 더 열등한 두께 제어를 갖고, 그리고/또는 가스 상 입자들의 생성을 초래할 수 있으며, 가스 상 입자들은 결과적인 디바이스에 결함들을 야기할 수 있다. 한 번에 하나의 반응성 가스가 프로세싱 챔버 내로 유동되는 종래의 시간-도메인 ALD 프로세스의 경우, 긴 퍼지/펌프 아웃 시간(purge/pump out time)이 발생되어, 케미스트리들이 가스 상으로 혼합되지 않는다. 공간 ALD 챔버는, 시간-도메인 ALD 챔버가 펌핑/퍼징할 수 있는 것보다 더 신속하게, 하나 이상의 웨이퍼(들)를 하나의 환경으로부터 제2 환경으로 이동시킬 수 있으며, 이는 더 높은 처리량을 발생시킬 수 있다.
[0003] 반도체 산업은 더 낮은 온도들(예컨대, 350 ℃ 미만)로 증착될 수 있는 고 품질 막들을 요구한다. 열만을 이용하는 프로세스(thermal only process)로 막이 증착되는 온도 미만의 온도들로 고 품질 막들을 증착하기 위해, 대안적인 에너지 소스들이 필요하다. 플라즈마 솔루션들이 ALD 막에 이온들 및 라디칼들의 형태로 부가적인 에너지를 제공하기 위해 사용될 수 있다. 수직 측벽 ALD 막 상에서 충분한 에너지를 얻는 것이 난제이다. 이온들은 전형적으로, 웨이퍼 표면에 수직인 방향으로 웨이퍼 표면 위의 시스(sheath)를 통해 가속된다. 따라서, 이온들은 수평 ALD 막 표면들에 에너지를 제공하지만, 수직 표면들에는 불충분한 양의 에너지를 제공하는데, 이는 이온들이 수직 표면들에 평행하게 이동하기 때문이다.
[0004] 일부 프로세스 챔버들은 CCP(capacitively coupled plasma)를 통합한다. CCP는 최상부 전극과 웨이퍼 사이에 생성되며, 이는 일반적으로 CCP 평행 플레이트 플라즈마로 알려져 있다. CCP 평행 플레이트 플라즈마는 2개의 시트들에 걸쳐 매우 높은 이온 에너지들을 생성하고, 그에 따라, 수직 측벽 표면들 상에서 매우 열악한 작업을 행한다. 웨이퍼 표면에 대한 더 넓은 각도 분포 및 더 낮은 에너지들을 갖는 고 라디칼 플럭스 및 이온 플럭스를 생성하는 데 최적화된 환경으로 웨이퍼를 공간적으로 이동시킴으로써, 더 양호한 수직 ALD 막 특성들이 달성될 수 있다. 그러한 플라즈마 소스들은 마이크로파, ICP(inductively coupled plasma), 또는 제3 전극들을 이용하는 더 높은 주파수 CCP 솔루션들(즉, 웨이퍼를 일차 전극으로서 사용하지 않으면서, 플라즈마가 웨이퍼 위에서 2개의 전극들 사이에 생성됨)을 포함한다.
[0005] 현재의 공간 ALD 프로세싱 챔버들은 가열식 원형 플래튼 상의 복수의 웨이퍼들을 일정한 속력으로 회전시키며, 이는 웨이퍼들을 하나의 프로세싱 환경으로부터 인접한 환경으로 이동시킨다. 상이한 프로세싱 환경들은 양립 불가능한 가스들의 분리를 생성한다. 그러나, 현재의 공간 ALD 프로세싱 챔버들은 플라즈마 환경이 플라즈마 노출에 최적화될 수 있게 하지 않으며, 이는 불-균일성, 플라즈마 손상, 및/또는 프로세싱 유연성 문제들을 초래한다.
[0006] 예컨대, 프로세스 가스들은 웨이퍼 표면에 걸쳐 유동한다. 웨이퍼가 오프셋 축을 중심으로 회전하기 때문에, 웨이퍼의 선행 에지와 후행 에지는 상이한 유동 스트림라인(streamline)들을 갖는다. 부가적으로, 내측 에지에서의 더 느린 속도 및 외측 에지에서의 더 빠른 속도에 의해 야기되는, 웨이퍼의 내경 에지와 외경 에지 사이의 유동 차이가 또한 존재한다. 이들 유동 불-균일성들은 최적화될 수는 있지만 제거되는 것은 가능하지 않다. 불-균일한 플라즈마에 웨이퍼를 노출시킬 때 플라즈마 손상이 생성될 수 있다. 이들 공간 프로세싱 챔버들의 일정한 속력의 회전은 웨이퍼들이 플라즈마 내외로 이동하는 것을 요구하고, 그에 따라, 웨이퍼의 일부는 다른 영역들이 플라즈마 외부에 있는 동안 플라즈마에 노출된다. 게다가, 일정한 회전 레이트로 인해, 공간 프로세싱 챔버에서 노출 시간들을 변화시키는 것은 어려울 수 있다. 예로서, 프로세스는 가스 A에 대한 0.5초 노출에 후속하는 1.5초 플라즈마 처리를 사용한다. 툴이 일정한 회전 속도로 러닝(run)하기 때문에, 이를 행하기 위한 유일한 방법은 플라즈마 환경을 가스 A 도징(dosing) 환경보다 3배 더 크게 만드는 것이다. 가스 A 및 플라즈마 시간들이 동일한 다른 프로세스가 수행되는 경우, 하드웨어에 대한 변경이 필요하게 될 것이다. 현재의 공간 ALD 챔버들은 회전 속력을 감속 또는 가속시킬 수 있지만, 더 작거나 또는 더 큰 영역들을 위해 챔버 하드웨어를 변경하지 않으면서 단계들 사이의 시간 차이들을 조정하는 것은 가능하지 않다. 따라서, 개선된 증착 장치 및 방법들이 본 기술 분야에 필요하다.
[0007] 본 개시내용의 하나 이상의 실시예들은 지지 조립체들에 관한 것이며, 지지 조립체들은 회전가능 중앙 베이스, 적어도 2개의 지지 암들, 및 가열기들을 포함한다. 회전가능 중앙 베이스는 회전 축을 정의한다. 지지 암들 각각은 중앙 베이스로부터 연장되고, 그리고 중앙 베이스와 접촉하는 내측 단부, 및 외측 단부를 갖는다. 지지 표면을 갖는 가열기들이 지지 암들 각각의 외측 단부 상에 포지셔닝된다.
[0008] 본 개시내용의 부가적인 실시예들은 프로세싱 챔버들에 관한 것이며, 프로세싱 챔버들은 하우징(housing), 복수의 프로세스 스테이션들, 및 지지 조립체를 포함한다. 하우징은, 내부 볼륨을 정의하는, 벽들, 최하부, 및 최상부를 갖는다. 복수의 프로세스 스테이션들은 하우징의 내부 볼륨에 있다. 프로세스 스테이션들은 회전 축 주위에 원형 어레인지먼트(arrangement)로 포지셔닝된다. 프로세스 스테이션들 각각은 전방 면을 갖는 가스 주입기를 포함한다. 가스 주입기들 각각의 전방 면들은 실질적으로 동일 평면에 있다. 지지 조립체는 하우징의 내부 볼륨에서 복수의 프로세스 스테이션들 아래에 포지셔닝된다. 지지 조립체는 복수의 지지 암들을 갖는 회전가능 중앙 베이스를 포함하고, 복수의 지지 암들은 중앙 베이스로부터 연장된다. 각각의 지지 암은 중앙 베이스와 접촉하는 내측 단부, 및 외측 단부를 갖는다. 지지 표면을 갖는 가열기가 지지 암들 각각의 외측 단부 상에 포지셔닝된다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버의 등각 단면도를 도시한다.
[0011] 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버의 단면도를 도시한다.
[0012] 도 3은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 평행 투영 저면도를 도시한다.
[0013] 도 4는 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 평행 투영 평면도를 도시한다.
[0014] 도 5는 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 평행 투영 평면도를 도시한다.
[0015] 도 6은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 측단면도를 도시한다.
[0016] 도 7은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 부분 측단면도를 도시한다.
[0017] 도 8은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 부분 측단면도를 도시한다.
[0018] 도 9는 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 부분 측단면도이다.
[0019] 도 10a는 본 개시내용의 하나 이상의 실시예에 따른 지지 플레이트의 등각 평면도이다.
[0020] 도 10b는 라인 10B-10B'를 따라 취해진 도 10a의 지지 플레이트의 측단면도이다.
[0021] 도 11a는 본 개시내용의 하나 이상의 실시예에 따른 지지 플레이트의 등각 저면도이다.
[0022] 도 11b는 라인 11B-11B'를 따라 취해진 도 11a의 지지 플레이트의 측단면도이다.
[0023] 도 12a는 본 개시내용의 하나 이상의 실시예에 따른 지지 플레이트의 등각 저면도이다.
[0024] 도 12b는 라인 12B-12B'를 따라 취해진 도 12a의 지지 플레이트의 측단면도이다.
[0025] 도 13은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버를 위한 최상부 플레이트의 등각 단면도이다.
[0026] 도 14는 본 개시내용의 하나 이상의 실시예에 따른 프로세스 스테이션의 분해 단면도이다.
[0027] 도 15는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버를 위한 최상부 플레이트의 개략적인 측단면도이다.
[0028] 도 16은 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버 내의 프로세스 스테이션의 부분 측단면도이다.
[0029] 도 17은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼의 개략적인 표현이다.
[0030] 도 18a 내지 도 18i는 본 개시내용의 하나 이상의 실시예에 따른, 프로세싱 챔버 내의 프로세스 스테이션 구성들의 개략도들을 도시한다.
[0031] 도 19a 및 도 19b는 본 개시내용의 하나 이상의 실시예에 따른 프로세스의 개략적인 표현들을 도시한다.
[0032] 도 20은 본 개시내용의 하나 이상의 실시예에 따른 지지 조립체의 개략적인 단면 표현을 도시한다.
[0033] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하고, 그리고 다양한 방식들로 실시 또는 수행될 수 있다.
[0034] 본원에서 사용되는 바와 같은 "기판"은 제작 프로세스 동안 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은 반도체 웨이퍼들을 포함한다(그러나 이에 제한되지는 않음). 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대해 직접적인 막 프로세싱에 부가하여, 본 개시내용에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하는 것으로 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0035] 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등이라는 용어들은, 기판 표면, 또는 기판 표면 상에 형성된 막과 반응할 수 있는 임의의 가스성 종을 지칭하기 위해 상호 교환가능하게 사용된다.
[0036] 본 개시내용의 하나 이상의 실시예들은 2개 이상의 프로세싱 환경들 사이의 공간 분리를 사용한다. 일부 실시예들은 유리하게, 양립 불가능한 가스들의 분리를 유지하기 위한 장치 및 방법들을 제공한다. 일부 실시예들은 유리하게, 최적화 가능한 플라즈마 프로세싱을 포함하는 장치 및 방법들을 제공한다. 일부 실시예들은 유리하게, 차별화된 열 도징 환경, 차별화된 플라즈마 처리 환경, 및 다른 환경들을 가능하게 하는 장치 및 방법들을 제공한다.
[0037] 본 개시내용의 하나 이상의 실시예들은 4개의 공간적으로 분리된 프로세싱 환경들(프로세싱 스테이션들로 또한 지칭됨)을 갖는 프로세싱 챔버들에 관한 것이다. 일부 실시예들은 4개 초과의 공간적으로 분리된 프로세싱 환경들을 가지며, 일부 실시예들은 4개 미만의 공간적으로 분리된 프로세싱 환경들을 갖는다. 프로세싱 환경들은 수평 평면에서 이동하는 웨이퍼(들)와 동일한 평면에 장착될 수 있다. 프로세스 환경들은 원형 어레인지먼트로 배치된다. 1개 내지 4개(또는 그 초과)의 개별 웨이퍼 가열기들이 상부에 장착된 회전가능 구조가, 프로세스 환경들과 유사한 직경을 갖는 원형 경로로, 웨이퍼들을 이동시킨다. 각각의 가열기는 온도 제어될 수 있고, 그리고 하나 또는 다수의 동심 구역들을 가질 수 있다. 웨이퍼 로딩을 위해, 회전가능 구조가 하강될 수 있고, 그에 따라, 진공 로봇이 완성된 웨이퍼들을 피킹(pick)할 수 있고, 그리고 (더 낮은 Z 포지션에 있는) 각각의 웨이퍼 가열기 위에 위치된 리프트 핀들 상에 프로세싱되지 않은 웨이퍼들을 배치할 수 있다. 동작 시, 각각의 웨이퍼는 프로세스가 완료될 때까지 독립적인 환경 하에 있을 수 있고, 그 후에, 회전가능 구조가 회전하여(4개의 스테이션들의 경우 90° 회전, 3개의 스테이션들의 경우 120° 회전), 가열기들 상의 웨이퍼들을 프로세싱을 위한 다음 환경으로 이동시킬 수 있다.
[0038] 본 개시내용의 일부 실시예들은 유리하게, 양립 불가능한 가스들을 이용하는 ALD를 위한 공간 분리를 제공한다. 일부 실시예들은 종래의 시간-도메인 또는 공간 프로세스 챔버보다 더 높은 처리량 및 툴 자원 활용을 가능하게 한다. 각각의 프로세스 환경은 상이한 압력으로 동작할 수 있다. 가열기 회전은 Z 방향 운동을 갖고, 그에 따라, 각각의 가열기는 챔버 내에 밀봉될 수 있다.
[0039] 일부 실시예들은 유리하게, 마이크로파, ICP, 평행 플레이트 CCP 또는 3전극 CCP 중 하나 이상을 포함할 수 있는 플라즈마 환경을 제공한다. 전체 웨이퍼가 플라즈마에 침지(immerse)될 수 있고, 그에 따라, 웨이퍼에 걸친 불-균일한 플라즈마로부터의 플라즈마 손상이 제거될 수 있다.
[0040] 일부 실시예들에서, 도즈 가스 활용 및 사이클 시간 속력을 증가시키기 위해, 샤워헤드와 웨이퍼 사이에 작은 갭이 사용될 수 있다. 정확한 샤워헤드 온도 제어 및 높은 동작 범위(최대 230 ℃)가 사용될 수 있다. 이론에 의해 구속되는 것은 아니지만, 샤워헤드 온도가 웨이퍼 온도에 더 근접할수록, 웨이퍼 온도 균일성이 더 양호하게 되는 것으로 여겨진다.
[0041] 샤워헤드들은 작은 가스 홀들(< 200 μm), 많은 수의 가스 홀들(수천 개들 내지 천만 개 초과), 및 속력을 증가시키기 위해 작은 분배 볼륨을 사용하는 샤워헤드 내부의 재귀적으로 피드되는 가스 분배를 포함할 수 있다. 작은 사이즈 및 많은 수의 가스 홀들은 레이저 드릴링 또는 건식 에칭에 의해 생성될 수 있다. 웨이퍼가 샤워헤드에 근접해 있을 때, 가스가 수직 홀들을 통해 웨이퍼 쪽으로 이동하는 것으로부터 겪게 되는 난류(turbulence)가 존재한다. 일부 실시예들은, 서로 근접하게 이격된 다수의 홀들을 사용하여 샤워헤드를 통하는 더 느린 속도의 가스를 가능하게 하여, 웨이퍼 표면에 대한 균일한 분배를 달성한다.
[0042] 일부 실시예들은 단일 툴 상의 복수의 공간적으로 분리된 프로세싱 스테이션들(챔버들)을 사용하는 통합형 프로세싱 플랫폼들에 관한 것이다. 프로세싱 플랫폼은 상이한 프로세스들을 수행할 수 있는 다양한 챔버들을 가질 수 있다.
[0043] 본 개시내용의 일부 실시예들은 웨이퍼 가열기(들)에 부착된 웨이퍼(들)를 하나의 환경으로부터 다른 환경으로 이동시키기 위한 장치 및 방법들에 관한 것이다. 가열기(들)에 웨이퍼(들)를 정전 척킹(또는 클램핑)함으로써 신속한 이동이 가능하게 될 수 있다. 웨이퍼들의 이동은 선형 또는 원형 운동으로 이루어질 수 있다.
[0044] 본 개시내용의 일부 실시예들은 하나 이상의 기판들을 프로세싱하는 방법들에 관한 것이다. 예들은, 하나의 가열기 상의 하나의 웨이퍼를 공간적으로 분리된 복수의 상이한 순차적인 환경들로 러닝하는 것; 2개의 웨이퍼 가열기들 상의 2개의 웨이퍼들을 3개의 환경들(2개의 환경들은 동일하고, 하나의 상이한 환경이 2개의 유사한 환경들 사이에 있음)로 러닝하는 것; 제1 웨이퍼가 환경 A를 거친 후에 환경 B를 거치고 이를 반복하는 동안, 제2 웨이퍼가 환경 B를 거친 후에 환경 A를 거치고 이를 반복하는 것; 하나의 환경이 (웨이퍼 없이) 유휴 상태로 유지되는 것; 2개의 제1 환경들 및 2개의 제2 환경들에 2개의 웨이퍼들을 러닝하는 것(여기서, 웨이퍼들 둘 모두는 동시에 동일한 환경들을 거침)(즉, 웨이퍼들 둘 모두가 A에 있고 이어서 둘 모두 B로 이동함); 4개의 웨이퍼들이 2개의 A 환경들 및 2개의 B 환경들에 있는 것; 및 2개의 웨이퍼들이 A들에서 프로세싱되는 동안, 다른 2개의 웨이퍼들이 B들에서 프로세싱되는 것을 포함한다(그러나 이에 제한되지는 않음). 일부 실시예들에서, 웨이퍼들은 반복적으로 환경 A 및 환경 B에 노출되고, 이어서, 동일한 챔버에 위치된 제3 환경에 노출된다.
[0045] 일부 실시예들에서, 웨이퍼들은 프로세싱을 위해 복수의 챔버들을 통해 이동하며, 여기서, 챔버들 중 적어도 하나의 챔버는 동일한 챔버 내의 복수의 공간적으로 분리된 환경들을 이용하여 순차적인 프로세싱을 행한다.
[0046] 일부 실시예들은 동일한 챔버 내의 공간적으로 분리된 프로세싱 환경들을 갖는 장치에 관한 것이며, 여기서, 환경들은 상당히 상이한 압력들로 있다(예컨대, 하나의 환경은 < 100 mT로 있고, 다른 환경은 > 3 T로 있음). 일부 실시예들에서, 가열기 회전 로봇은 공간적으로 분리된 환경들 내에 각각의 웨이퍼/가열기를 밀봉시키기 위해 z-축으로 이동한다.
[0047] 일부 실시예들은, 최상부 측 상의 대기압과 다른 측 상의 진공에 의해 발생되는 편향을 제거하기 위해, 상방으로 챔버 덮개의 중심에 힘을 가하는 수직 구조 부재를 갖는, 챔버 위에 구축된 구조를 포함한다. 위의 구조의 힘의 크기는 최상부 플레이트의 편향에 기반하여 기계적으로 조정될 수 있다. 힘 조정은 피드백 회로 및 힘 변환기를 사용하여 자동적으로 행해질 수 있거나, 또는, 예컨대 오퍼레이터에 의해 튜닝될 수 있는 스크루를 사용하여 수동적으로 행해질 수 있다.
[0048] 도 1 및 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버(100)를 예시한다. 도 1은 본 개시내용의 하나 이상의 실시예에 따른, 등각 단면도로서 예시된 프로세싱 챔버(100)를 도시한다. 도 2는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 챔버(100)를 단면으로 도시한다. 따라서, 본 개시내용의 일부 실시예들은, 지지 조립체(200) 및 최상부 플레이트(300)를 통합하는 프로세싱 챔버들(100)에 관한 것이다.
[0049] 프로세싱 챔버(100)는, 벽들(104) 및 최하부(106)를 갖는 하우징(102)을 갖는다. 하우징(102)은 최상부 플레이트(300)와 함께 내부 볼륨(109)(프로세싱 볼륨으로 또한 지칭됨)을 정의한다.
[0050] 프로세싱 챔버(100)는 복수의 프로세스 스테이션들(110)을 포함한다. 프로세스 스테이션들(110)은 하우징(102)의 내부 볼륨(109)에 위치되고, 그리고 지지 조립체(200)의 회전 축(211)을 중심으로 원형 어레인지먼트로 포지셔닝된다. 프로세스 스테이션들(110)은 프로세싱 챔버(100)의 내부 볼륨(109) 주위에 공간적으로 배열된다. 각각의 프로세스 스테이션(110)은 전방 면(114)을 갖는 가스 주입기(112)를 포함한다. 일부 실시예들에서, 가스 주입기들(112) 각각의 전방 면들(114)은 실질적으로 동일 평면에 있다. 프로세스 스테이션들(110)은 내부에서 프로세싱이 발생할 수 있는 구역으로서 정의된다. 예컨대, 프로세스 스테이션(110)은 가열기들(230)의 지지 표면(231)(아래에서 설명되는 바와 같음), 및 가스 주입기들(112)의 전방 면(114)에 의해 정의될 수 있다.
[0051] 프로세스 스테이션들(110)은 임의의 적합한 프로세스를 수행하고 임의의 적합한 프로세스 조건들을 제공하도록 구성될 수 있다. 사용되는 가스 주입기(112)의 타입은, 예컨대, 수행되는 프로세스의 타입 및 샤워헤드 또는 가스 주입기의 타입에 따라 좌우될 것이다. 예컨대, 원자 층 증착 장치로서 동작하도록 구성된 프로세스 스테이션(110)은 샤워헤드 또는 볼텍스(vortex) 타입 가스 주입기를 가질 수 있다. 반면에, 플라즈마 스테이션으로서 동작하도록 구성된 프로세스 스테이션(110)은, 플라즈마 가스가 웨이퍼 쪽으로 유동할 수 있게 하면서 플라즈마를 생성하기 위해, 하나 이상의 전극 및/또는 접지된 플레이트 구성을 가질 수 있다. 도 2에 예시된 실시예는 도면의 우측(프로세스 스테이션(110b))과 도면의 좌측(프로세스 스테이션(110a))에 상이한 타입의 프로세스 스테이션(110)을 갖는다. 적합한 프로세스 스테이션들(110)은 열 프로세싱 스테이션들, 마이크로파 플라즈마, 3-전극 CCP, ICP, 평행 플레이트 CCP, UV 노출, 레이저 프로세싱, 펌핑 챔버들, 어닐링 스테이션들, 및 계측 스테이션들을 포함한다(그러나 이에 제한되지는 않음).
[0052] 도 3 내지 도 6은 본 개시내용의 하나 이상의 실시예들에 따른 지지 조립체들(200)을 예시한다. 지지 조립체(200)는 회전가능 중앙 베이스(210)를 포함한다. 회전가능 중앙 베이스(210)는 대칭 또는 비대칭 형상을 가질 수 있고, 회전 축(211)을 정의한다. 도 6에서 볼 수 있는 바와 같이, 회전 축(211)은 제1 방향으로 연장된다. 제1 방향은 수직 방향 또는 z-축을 따르는 방향으로 지칭될 수 있지만, 이러한 방식의 "수직"이라는 용어의 사용이 중력의 인력(pull of gravity)에 수직인 방향으로 제한되지 않는다는 것이 이해될 것이다.
[0053] 지지 조립체(200)는 중앙 베이스(210)에 연결되어 중앙 베이스(210)로부터 연장되는 적어도 2개의 지지 암들(220)을 포함한다. 지지 암들(220)은 내측 단부(221) 및 외측 단부(222)를 갖는다. 내측 단부(221)는 중앙 베이스(210)와 접촉하고, 그에 따라, 중앙 베이스(210)가 회전 축(211)을 중심으로 회전할 때 지지 암들(220)이 또한 회전하게 된다. 지지 암들(220)은, 파스너들(예컨대, 볼트들)에 의해, 또는 중앙 베이스(210)와 일체로 형성되는 것에 의해, 내측 단부(221)에서 중앙 베이스(210)에 연결될 수 있다.
[0054] 일부 실시예들에서, 지지 암들(220)은, 내측 단부들(221) 또는 외측 단부들(222) 중 하나가 동일한 지지 암(220) 상의 내측 단부들(221) 및 외측 단부들(222) 중 다른 하나보다 회전 축(211)으로부터 더 멀리 있도록, 회전 축(211)에 직각으로 연장된다. 일부 실시예들에서, 지지 암(220)의 내측 단부(221)는 동일한 지지 암(220)의 외측 단부(222)보다 회전 축(211)에 더 근접해 있다.
[0055] 지지 조립체(200) 내의 지지 암들(220)의 수는 변할 수 있다. 일부 실시예들에서, 적어도 2개의 지지 암들(220), 적어도 3개의 지지 암들(220), 적어도 4개의 지지 암들(220), 또는 적어도 5개의 지지 암들(220)이 있다. 일부 실시예들에서, 3개의 지지 암들(220)이 있다. 일부 실시예들에서, 4개의 지지 암들(220)이 있다. 일부 실시예들에서, 5개의 지지 암들(220)이 있다. 일부 실시예들에서, 6개의 지지 암들(220)이 있다.
[0056] 지지 암들(220)은 중앙 베이스(210) 주위에 대칭적으로 배열될 수 있다. 예컨대, 4개의 지지 암들(220)을 갖는 지지 조립체(200)에서, 지지 암들(220) 각각은 중앙 베이스(210) 주위에 90° 간격들로 포지셔닝된다. 3개의 지지 암들(220)을 갖는 지지 조립체(200)에서, 지지 암들(220)은 중앙 베이스(210) 주위에 120° 간격들로 포지셔닝된다. 달리 말하면, 4개의 지지 암들(220)을 갖는 실시예들에서, 지지 암들은 회전 축(211) 주위에 4-폴드(four-fold) 대칭을 제공하도록 배열된다. 일부 실시예들에서, 지지 조립체(200)는 n개의 지지 암들(220)을 갖고, n개의 지지 암들(220)은 회전 축(211) 주위에 n-폴드 대칭을 제공하도록 배열된다.
[0057] 가열기(230)가 지지 암들(220)의 외측 단부(222)에 포지셔닝된다. 일부 실시예들에서, 각각의 지지 암(220)은 가열기(230)를 갖는다. 가열기들(230)의 중심은 회전 축(211)으로부터 일정 거리에 위치되고, 그에 따라, 중앙 베이스(210)의 회전 시에 가열기들(230)은 원형 경로로 이동하게 된다.
[0058] 가열기들(230)은 웨이퍼를 지지할 수 있는 지지 표면(231)을 갖는다. 일부 실시예들에서, 가열기(230) 지지 표면들(231)은 실질적으로 동일 평면에 있다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면에 있음"은, 개별 지지 표면들(231)에 의해 형성된 평면들이 다른 지지 표면들(231)에 의해 형성된 평면들의 ±5°, ±4°, ±3°, ±2°, 또는 ±1° 내에 있음을 의미한다.
[0059] 일부 실시예들에서, 가열기들(230)은 지지 암들(220)의 외측 단부(222) 바로 위에 포지셔닝된다. 일부 실시예들에서, 도면들에 예시된 바와 같이, 가열기들(230)은 가열기 스탠드오프(standoff)(234)에 의해 지지 암들(220)의 외측 단부(222) 위로 상승된다. 가열기 스탠드오프들(234)은 가열기들(230)의 높이를 증가시키기 위한 임의의 사이즈 및 길이로 이루어질 수 있다.
[0060] 일부 실시예들에서, 중앙 베이스(210), 지지 암들(220), 및/또는 가열기 스탠드오프들(234) 중 하나 이상에 채널(236)이 형성된다. 채널(236)은 전기 연결들을 라우팅하거나 또는 가스 유동을 제공하기 위해 사용될 수 있다.
[0061] 가열기들은 당업자에게 알려져 있는 임의의 적합한 타입의 가열기일 수 있다. 일부 실시예들에서, 가열기는 가열기 바디 내에 하나 이상의 가열 엘리먼트들을 갖는 저항성 가열기이다.
[0062] 일부 실시예들의 가열기들(230)은 부가적인 컴포넌트들을 포함한다. 예컨대, 가열기들은 정전 척을 포함할 수 있다. 정전 척은, 가열기가 이동되는 동안, 가열기 지지 표면(231) 상에 포지셔닝된 웨이퍼가 적소에 홀딩될 수 있도록, 다양한 와이어들 및 전극들을 포함할 수 있다. 이는, 프로세스의 시작 시에 웨이퍼가 가열기 상에 척킹될 수 있게 하고, 그리고 상이한 프로세스 구역들로 이동하는 동안, 동일한 가열기 상의 그 동일한 포지션에 유지될 수 있게 한다. 일부 실시예들에서, 와이어들 및 전극들은 지지 암들(220) 내의 채널들(236)을 통해 라우팅된다. 도 7은 지지 조립체(200)의 일부의 확대도(expanded view)를 도시하며, 여기서, 채널(236)이 도시된다. 채널(236)은 지지 암(220) 및 가열기 스탠드오프(234)를 따라 연장된다. 제1 전극(251a) 및 제2 전극(251b)은 가열기(230), 또는 가열기(230) 내부의 컴포넌트(예컨대, 저항성 와이어)와 전기적으로 연통한다. 제1 와이어(253a)가 제1 커넥터(252a)에서 제1 전극(251a)에 연결된다. 제2 와이어(253b)가 제2 커넥터(252b)에서 제2 전극(251b)에 연결된다.
[0063] 일부 실시예들에서, 가열기(230)의 온도 또는 가열기(230) 상의 기판의 온도 중 하나 이상을 측정하기 위해, 온도 측정 디바이스(예컨대, 고온계, 서미스터, 열전대)가 채널(236) 내에 포지셔닝된다. 일부 실시예들에서, 온도 측정 디바이스를 위한 제어 및/또는 측정 와이어들이 채널(236)을 통해 라우팅된다. 일부 실시예들에서, 하나 이상의 온도 측정 디바이스들이 가열기들(230) 및/또는 가열기들(230) 상의 웨이퍼의 온도를 측정하기 위해 프로세싱 챔버(100) 내에 포지셔닝된다. 적합한 온도 측정 디바이스들이 당업자에게 알려져 있으며, 광학 고온계들 및 접촉 열전대들을 포함한다(그러나 이에 제한되지는 않음).
[0064] 와이어들은 지지 암들(220) 및 지지 조립체(200)를 통해 라우팅되어 전력 소스(미도시)와 연결될 수 있다. 일부 실시예들에서, 전력 소스로의 연결은, 와이어들(253a, 253b)을 엉키게 하거나 또는 끊어지게 하지 않으면서, 지지 조립체(200)의 연속적인 회전을 가능하게 한다. 일부 실시예들에서, 도 7에 도시된 바와 같이, 제1 와이어(253a) 및 제2 와이어(253b)는 지지 암(220)의 채널(236)을 따라 중앙 베이스(210)로 연장된다. 중앙 베이스(210)에서, 제1 와이어(253a)는 중앙 제1 커넥터(254a)와 연결되고, 제2 와이어(253b)는 중앙 제2 커넥터(254b)와 연결된다. 중앙 커넥터들(254a, 254b)은, 전력 또는 전자 신호들이 중앙 커넥터들(254a, 254b)을 통과할 수 있도록, 연결 플레이트(258)의 일부일 수 있다. 예시된 실시예에서, 지지 조립체(200)는 와이어들을 꼬이게 하거나 또는 끊어지게 하지 않으면서 연속적으로 회전할 수 있는데, 이는 와이어들이 중앙 베이스(210)에서 종결되기 때문이다. 제2 연결은 연결 플레이트(258)의 반대편(프로세싱 챔버의 외부)에 있다.
[0065] 일부 실시예들에서, 와이어들은 채널(236)을 통해 프로세싱 챔버 외부의 전기 컴포넌트 또는 전력 소스에 직접적으로 연결된다. 이러한 종류의 실시예들에서, 와이어들은 지지 조립체(200)가 와이어들을 꼬이게 하거나 또는 끊어지게 하지 않으면서 제한된 양만큼 회전될 수 있게 하기에 충분한 여유를 갖는다. 일부 실시예들에서, 지지 조립체(200)는, 회전 방향이 반전되기 전에, 약 1080°, 990°, 720°, 630°, 360°, 또는 270° 이하만큼 회전된다. 이는 가열기들이 와이어들을 끊어지게 하지 않으면서 스테이션들 각각을 통해 회전될 수 있게 한다.
[0066] 도 3 내지 도 6을 다시 참조하면, 가열기(230) 및 지지 표면(231)은 배면 가스의 유동을 제공하기 위해 하나 이상의 가스 유출구들을 포함할 수 있다. 이는 지지 표면(231)으로부터의 웨이퍼의 제거를 보조할 수 있다. 도 4 및 도 5에 도시된 바와 같이, 지지 표면(231)은 복수의 개구들(237) 및 가스 채널(238)을 포함한다. 개구들(237) 및/또는 가스 채널(238)은 진공 소스 또는 가스 소스(예컨대, 퍼지 가스) 중 하나 이상과 유체 연통할 수 있다. 이러한 종류의 실시예들에서, 개구들(237) 및/또는 가스 채널(238)과 가스 소스의 유체 연통을 가능하게 하기 위해, 중공 튜브가 포함될 수 있다.
[0067] 일부 실시예들에서, 가열기(230) 및/또는 지지 표면(231)은 정전 척으로서 구성된다. 이러한 종류의 실시예들에서, 전극들(251a, 251b)(도 7 참조)은 정전 척을 위한 제어 라인들을 포함할 수 있다.
[0068] 지지 조립체(200)의 일부 실시예들은 밀봉 플랫폼(240)을 포함한다. 밀봉 플랫폼은 최상부 표면(241), 최하부 표면, 및 두께를 갖는다. 밀봉 플랫폼(240)은, 지지 조립체(200) 아래의 구역으로 유동하는 가스를 최소화하기 위한 밀봉 또는 배리어를 제공하는 것을 돕기 위해, 가열기들(230) 주위에 포지셔닝될 수 있다.
[0069] 일부 실시예들에서, 도 4에 도시된 바와 같이, 밀봉 플랫폼들(240)은 링 형상이고, 각각의 가열기(230) 주위에 포지셔닝된다. 예시된 실시예에서, 밀봉 플랫폼들(240)은, 밀봉 플랫폼(240)의 최상부 표면(241)이 가열기의 지지 표면(231) 아래에 있도록, 가열기(230) 아래에 위치된다.
[0070] 밀봉 플랫폼들(240)은 다수의 목적들을 가질 수 있다. 예컨대, 밀봉 플랫폼들(240)은 열 질량을 증가시킴으로써 가열기(230)의 온도 균일성을 증가시키기 위해 사용될 수 있다. 일부 실시예들에서, 밀봉 플랫폼들(240)은 가열기(230)와 일체로 형성된다(예컨대, 도 6 참조). 일부 실시예들에서, 밀봉 플랫폼들(240)은 가열기(230)와 별개이다. 예컨대, 도 8에 예시된 실시예는, 밀봉 플랫폼(240)의 최상부 표면(241)이 가열기(230)의 지지 표면(231)의 레벨 아래에 있도록 가열기 스탠드오프(234)에 연결된 별개의 컴포넌트로서 밀봉 플랫폼(240)을 갖는다.
[0071] 일부 실시예들에서, 밀봉 플랫폼들(240)은 지지 플레이트(245)를 위한 홀더로서 작용한다. 일부 실시예들에서, 도 5에 도시된 바와 같이, 지지 플레이트(245)는 가열기들(230)의 지지 표면(231)으로의 접근을 가능하게 하도록 복수의 개구들(242)로 모든 가열기들(230)을 둘러싸는 단일 컴포넌트이다. 개구들(242)은 가열기들(230)이 지지 플레이트(245)를 통과하는 것을 가능하게 할 수 있다. 일부 실시예들에서, 지지 플레이트(245)는 지지 플레이트(245)가 수직으로 이동하고 가열기들(230)과 함께 회전하도록 고정된다.
[0072] 하나 이상의 실시예들에서, 지지 조립체(200)는 드럼 형상 컴포넌트이며; 예컨대, 도 20에 도시된 바와 같이, 복수의 웨이퍼들을 지지하도록 구성된 최상부 표면(246)을 갖는 원통형 바디이다. 지지 조립체(200)의 최상부 표면(246)은 프로세싱 동안 하나 이상의 웨이퍼들을 지지하도록 사이즈가 설정된 복수의 오목부들(포켓들(257))을 가질 수 있다. 일부 실시예들에서, 포켓들(257)은 프로세싱될 웨이퍼들의 두께와 대략 동일한 깊이를 갖고, 그에 따라, 웨이퍼들의 최상부 표면은 원통형 바디의 최상부 표면(246)과 실질적으로 동일 평면에 있게 된다. 그러한 지지 조립체(200)의 예는 지지 암들(220)이 없는 도 5의 변형으로서 구상될 수 있다. 도 20은 원통형 바디를 사용하는 지지 조립체(200)의 실시예의 단면도를 예시한다. 지지 조립체(200)는 프로세싱을 위해 웨이퍼를 지지하도록 사이즈가 설정된 복수의 포켓들(257)을 포함한다. 예시된 실시예에서, 포켓들(257)의 최하부는 가열기(230)의 지지 표면(231)이다. 가열기들(230)을 위한 전력 연결들은 지지 포스트(227) 및 지지 플레이트(245)를 통해 라우팅될 수 있다. 가열기들(230)은 개별 포켓들(257) 및 웨이퍼들의 온도를 제어하기 위해 독립적으로 전력을 공급받을 수 있다.
[0073] 도 9를 참조하면, 일부 실시예들에서, 지지 플레이트(245)는 가열기(230)의 지지 표면(231)에 의해 형성되는 주 평면(247)과 실질적으로 평행한 주 평면(248)을 형성하는 최상부 표면(246)을 갖는다. 일부 실시예들에서, 지지 플레이트(245)는 지지 표면(231)의 주 평면(247) 위로 거리(D)에 있는 주 평면(248)을 형성하는 최상부 표면(246)을 갖는다. 일부 실시예들에서, 거리(D)는 프로세싱될 웨이퍼(260)의 두께와 실질적으로 동일하고, 그에 따라, 도 6에 도시된 바와 같이, 웨이퍼(260) 표면(261)은 지지 플레이트(245)의 최상부 표면(246)과 동일 평면에 있게 된다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 동일 평면에 있음"이라는 용어는, 웨이퍼(260)의 표면(261)에 의해 형성되는 주 평면이 동일 평면성의 ±1 mm, ±0.5 mm, ±0.4 mm, ±0.3 mm, ±0.2mm, 또는 ±0.1 mm 내에 있음을 의미한다.
[0074] 도 9를 참조하면, 본 개시내용의 일부 실시예들은 프로세싱을 위한 지지 표면들을 구성하는 별개의 컴포넌트들을 갖는다. 여기서, 밀봉 플랫폼(240)은 가열기(230)와 별개의 컴포넌트이고, 그리고 밀봉 플랫폼(240)의 최상부 표면(241)이 가열기(230)의 지지 표면(231) 아래에 있도록 포지셔닝된다. 밀봉 플랫폼(240)의 최상부 표면(241)과 가열기(230)의 지지 표면(231) 사이의 거리는 지지 플레이트(245)가 밀봉 플랫폼들(240) 상에 포지셔닝될 수 있게 하기에 충분하다. 지지 플레이트(245)의 두께 및/또는 밀봉 플랫폼(240)의 포지션은, 웨이퍼(260)의 최상부 표면(261)(도 6 참조)이 지지 플레이트(245)의 최상부 표면(246)과 실질적으로 동일 평면에 있게 하기에, 지지 플레이트(245)의 최상부 표면(246)과 가열기(230)의 지지 표면(231) 사이의 거리(D)가 충분하게 되도록 제어될 수 있다.
[0075] 일부 실시예들에서, 도 9에 도시된 바와 같이, 지지 플레이트(245)는 지지 포스트(227)에 의해 지지된다. 지지 포스트(227)는, 단일 컴포넌트 플랫폼이 사용될 때, 지지 플레이트(245)의 중앙의 처짐을 방지하는 데 유용할 수 있다. 일부 실시예들에서, 밀봉 플랫폼들(240)이 없으며, 지지 포스트(227)가 지지 플레이트(245)를 위한 주 지지부이다.
[0076] 지지 플레이트들(245)은 가열기들(230) 및 밀봉 플랫폼들(240)의 다양한 구성들과 상호작용하기 위한 다양한 구성들을 가질 수 있다. 도 10a는 본 개시내용의 하나 이상의 실시예에 따른 지지 플레이트(245)의 등각 평면도를 도시한다. 도 10b는 라인 10B-10B'를 따라 취해진 도 10a의 지지 플레이트(245)의 단면도를 도시한다. 이 실시예에서, 지지 플레이트(245)는 평면 컴포넌트이며, 여기서, 최상부 표면(246) 및 최하부 표면(249)은 실질적으로 평탄하고 그리고/또는 실질적으로 동일 평면에 있다. 예시된 실시예는, 도 9에 도시된 바와 같이, 지지 플레이트(245)를 지지하기 위해 밀봉 플랫폼(240)이 사용되는 경우 특히 유용할 수 있다.
[0077] 도 11a는 본 개시내용의 하나 이상의 실시예에 따른 지지 플레이트(245)의 다른 실시예의 등각 저면도를 도시한다. 도 11b는 라인 11B-11B'를 따라 취해진 도 11a의 지지 플레이트(245)의 단면도를 도시한다. 이 실시예에서, 개구들(242) 각각은 지지 플레이트(245)의 최하부 표면(249) 상에서 개구(242)의 외측 주변부 주위에 돌출 링(270)을 갖는다.
[0078] 도 12a는 본 개시내용의 하나 이상의 실시예에 따른 지지 플레이트(245)의 다른 실시예의 등각 저면도를 도시한다. 도 12b는 라인 12B-12B'를 따라 취해진 도 12a의 지지 플레이트(245)의 단면도를 도시한다. 이 실시예에서, 개구들(242) 각각은 개구(242)의 외측 주변부 주위에서 지지 플레이트(245)의 최하부 표면(249)에 오목 링(272)을 갖는다. 오목 링(272)은 오목한 최하부 표면(273)을 생성한다. 이러한 종류의 실시예는 밀봉 플랫폼들(240)이 존재하지 않거나 또는 가열기들(230)의 지지 표면(231)과 동일 평면에 있는 경우에 유용할 수 있다. 오목한 최하부 표면(273)은, 지지 플레이트(245)의 최하부 부분이 가열기(230)의 측면들 주위에서 가열기(230)의 지지 표면(231) 아래로 연장되도록, 가열기(230)의 지지 표면(231) 상에 포지셔닝될 수 있다.
[0079] 본 개시내용의 일부 실시예들은 다중-스테이션 프로세싱 챔버들을 위한 최상부 플레이트들(300)에 관한 것이다. 도 1 및 도 13을 참조하면, 최상부 플레이트(300)는, 덮개의 두께를 정의하는, 최상부 표면(301) 및 최하부 표면(302), 및 하나 이상의 에지들(303)을 갖는다. 최상부 플레이트(300)는 최상부 플레이트(300)의 두께를 통해 연장되는 적어도 하나의 개구(310)를 포함한다. 개구들(310)은 프로세스 스테이션(110)을 형성할 수 있는 가스 주입기(112)의 부가를 가능하게 하도록 사이즈가 설정된다.
[0080] 도 14는 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 스테이션(110)의 분해도를 예시한다. 예시된 프로세싱 스테이션(110)은 3개의 주요 컴포넌트들: 최상부 플레이트(300)(덮개로 또한 지칭됨), 펌프/퍼지 삽입부(330), 및 가스 주입기(112)를 포함한다. 도 14에 도시된 가스 주입기(112)는 샤워헤드 타입 가스 주입기이다. 일부 실시예들에서, 삽입부는 진공(배기부)에 연결되거나 또는 진공(배기부)과 유체 연통한다. 일부 실시예들에서, 삽입부는 퍼지 가스 소스에 연결되거나 또는 퍼지 가스 소스와 유체 연통한다.
[0081] 최상부 플레이트(300) 내의 개구들(310)은 균일하게 사이즈가 설정될 수 있거나 또는 상이한 사이즈들을 가질 수 있다. 개구(310)로부터 가스 주입기(112)로의 전환에 적합하게 형성된 펌프/퍼지 삽입부(330)를 이용하여, 상이한 사이즈/형상의 가스 주입기들(112)이 사용될 수 있다. 예컨대, 예시된 바와 같이, 펌프/퍼지 삽입부(330)는 측벽(335)과 함께 최상부(331) 및 최하부(333)를 포함한다. 최상부 플레이트(300) 내의 개구(310) 내에 삽입될 때, 최하부(333)에 인접한 레지(ledge)(334)는 개구(310)에 형성된 셸프(shelf)(315) 상에 포지셔닝될 수 있다. 일부 실시예들에서, 개구에 셸프(315)가 없으며, 펌프/퍼지 삽입부(330)의 플랜지(flange) 부분(337)이 최상부 플레이트(300)의 최상부 상에 놓인다. 예시된 실시예에서, 레지(334)가 셸프(315) 상에 놓이며, 레지(334)와 셸프(315) 사이에 o-링(314)이 포지셔닝되어 기밀 밀봉을 형성하는 것을 돕는다.
[0082] 일부 실시예들에서, 최상부 플레이트(300)에 하나 이상의 퍼지 링들(309)(도 13 참조)이 있다. 퍼지 링들(309)은, 프로세싱 챔버로부터의 프로세싱 가스들의 누설을 방지하도록 퍼지 가스의 포지티브(positive) 유동을 제공하기 위해, 퍼지 가스 플리넘(plenum)(미도시) 또는 퍼지 가스 소스(미도시)와 유체 연통할 수 있다.
[0083] 일부 실시예들의 펌프/퍼지 삽입부(330)는 펌프/퍼지 삽입부(330)의 최하부(333)에 적어도 하나의 개구(338)를 갖는 가스 플리넘(336)을 포함한다. 가스 플리넘(336)은, 전형적으로는 펌프/퍼지 삽입부(330)의 최상부(331) 또는 측벽(335) 근처에 있는 유입구(미도시)를 갖는다.
[0084] 일부 실시예들에서, 플리넘(336)은 펌프/퍼지 삽입부(330)의 최하부(333) 내의 개구(338)를 통과할 수 있는 퍼지 또는 불활성 가스로 충전될 수 있다. 개구(338)를 통하는 가스 유동은 프로세싱 챔버의 내부로부터의 프로세스 가스들의 누설을 방지하기 위한 가스 커튼 타입 배리어를 생성하는 것을 도울 수 있다.
[0085] 일부 실시예들에서, 플리넘(336)은 진공 소스에 연결되거나 또는 진공 소스와 유체 연통한다. 그러한 실시예에서, 가스들은 펌프/퍼지 삽입부(330)의 최하부(333) 내의 개구(338)를 통해 플리넘(336) 내로 유동한다. 가스들은 플리넘으로부터 배기부로 진공배기될 수 있다. 그러한 어레인지먼트는 사용 동안 프로세스 스테이션(110)으로부터 가스들을 진공배기시키기 위해 사용될 수 있다.
[0086] 펌프/퍼지 삽입부(330)는 개구(339)를 포함하며, 개구(339)에 가스 주입기(112)가 삽입될 수 있다. 예시된 가스 주입기(112)는 플랜지(342)를 가지며, 플랜지(342)는 펌프/퍼지 삽입부(330)의 최상부(331)에 인접한 레지(332)와 접촉할 수 있다. 가스 주입기(112)의 직경 또는 폭은 펌프/퍼지 삽입부(330)의 개구(339) 내에 피팅(fit)될 수 있는 임의의 적합한 사이즈일 수 있다. 이는 최상부 플레이트(300) 내의 동일한 개구(310) 내에 다양한 타입들의 가스 주입기들(112)이 사용될 수 있게 한다.
[0087] 도 2 및 도 15를 참조하면, 최상부 플레이트(300)의 일부 실시예들은 최상부 플레이트(300)의 중앙 부분 위로 지나가는 바(bar)(360)를 포함한다. 바(360)는 커넥터(367)를 사용하여 중앙 근처에서 최상부 플레이트(300)에 연결될 수 있다. 커넥터(367)는, 압력차들에 기인하거나 또는 최상부 플레이트(300)의 중량으로 인한, 최상부 플레이트(300)에서의 휨을 보상하기 위해, 최상부 플레이트(300)의 최상부(331) 또는 최하부(333)에 직각으로 힘을 가하는 데 사용될 수 있다. 일부 실시예들에서, 바(360) 및 커넥터(367)는 약 100 mm 이하의 두께 및 약 1.5 m의 폭을 갖는 최상부 플레이트의 중앙에서 약 1.5 mm 이하의 편향을 보상할 수 있다. 일부 실시예들에서, 모터(365) 또는 액추에이터가 커넥터(367)에 연결되고, 그리고 최상부 플레이트(300)에 가해지는 방향성 힘을 변화시킬 수 있다. 모터(365) 또는 액추에이터는 바(360) 상에 지지될 수 있다. 예시된 바(360)는 2개의 위치들에서 최상부 플레이트(300)의 에지들과 접촉한다. 그러나, 당업자는 하나의 연결 위치가 있을 수 있거나 또는 2개 초과의 연결 위치들이 있을 수 있음을 인식할 것이다.
[0088] 일부 실시예들에서, 도 2에 예시된 바와 같이, 지지 조립체(200)는 적어도 하나의 모터(250)를 포함한다. 적어도 하나의 모터(250)는 중앙 베이스(210)에 연결되고, 그리고 회전 축(211)을 중심으로 지지 조립체(200)를 회전시키도록 구성된다. 일부 실시예들에서, 적어도 하나의 모터는 회전 축(211)을 따르는 방향으로 중앙 베이스(210)를 이동시키도록 구성된다. 예컨대, 도 2에서, 모터(255)가 모터(250)에 연결되고, 그리고 회전 축(211)을 따라 지지 조립체(200)를 이동시킬 수 있다. 달리 말하면, 예시된 모터(255)는, 모터(250)에 의해 발생되는 이동에 수직 또는 직각으로, z-축을 따라 지지 조립체(200)를 이동시킬 수 있다. 일부 실시예들에서, 예시된 바와 같이, 회전 축(211)을 중심으로 지지 조립체(200)를 회전시키기 위한 제1 모터(250), 및 회전 축(211)을 따라(즉, z-축을 따라 또는 수직으로) 지지 조립체(200)를 이동시키기 위한 제2 모터(255)가 존재한다.
[0089] 도 2 및 도 16을 참조하면, 하나의 프로세스 스테이션(110a)을 인접한 프로세스 스테이션(110b)으로부터 격리시키는 것을 돕기 위해, 하나 이상의 진공 스트림들 및/또는 퍼지 가스 스트림들이 사용될 수 있다. 퍼지 가스 플리넘(370)이 프로세스 스테이션들(110)의 외측 경계에서 퍼지 가스 포트(371)와 유체 연통할 수 있다. 도 16에 예시된 실시예에서, 퍼지 가스 플리넘(370) 및 퍼지 가스 포트(371)는 최상부 플레이트(300)에 위치된다. 펌프/퍼지 삽입부(330)의 일부로서 도시된 플리넘(336)은 펌프/퍼지 가스 포트로서 작용하는 개구(338)와 유체 연통한다. 퍼지 가스 포트(371) 및 퍼지 가스 플리넘(370)(도 13에 도시된 바와 같음), 및 진공 포트(개구(338))는 프로세스 스테이션(110)의 둘레 주위에서 연장되어 가스 커튼을 형성할 수 있다. 가스 커튼은 프로세싱 챔버의 내부 볼륨(109) 내로의 프로세스 가스들의 누설을 최소화 또는 제거하는 것을 도울 수 있다.
[0090] 도 16에 예시된 실시예에서, 프로세스 스테이션(110)을 격리시키는 것을 돕기 위해 차동 펌핑이 사용될 수 있다. 펌프/퍼지 삽입부(330)는 o-링들(329)을 이용하여 가열기(230) 및 지지 플레이트(245)와 접촉하는 것으로 도시된다. o-링(329)은 플리넘(336)과 유체 연통하는 개구(338)의 어느 하나의 측에 포지셔닝된다. 하나의 o-링(329)이 개구(338)의 원주 내에 포지셔닝되고, 다른 o-링(329)이 개구(338)의 원주 외부에 포지셔닝된다. 개구(338)를 갖는 펌프/퍼지 플리넘(336)과 o-링들(329)의 조합은 프로세싱 챔버(100)의 내부 볼륨(109)으로부터의 프로세스 스테이션(110)의 기밀 밀봉을 유지하기에 충분한 차압을 제공할 수 있다. 일부 실시예들에서, 하나의 o-링(329)이 개구(338)의 원주의 내부 또는 외부 중 어느 하나에 포지셔닝된다. 일부 실시예들에서, 플리넘(370)과 유체 연통하는 퍼지 가스 포트(371)의 원주 내부 및 외부에 하나씩 2개의 o-링들(329)이 포지셔닝된다. 일부 실시예들에서, 플리넘(370)과 유체 연통하는 퍼지 가스 포트(371)의 원주 내부 또는 외부 중 허느 하나에 하나의 o-링(329)이 포지셔닝된다.
[0091] 프로세스 스테이션(110)의 경계는 펌프/퍼지 삽입부(330)에 의해 프로세스 가스가 격리되는 구역으로 고려될 수 있다. 일부 실시예들에서, 프로세스 스테이션(110)의 외측 경계는, 도 14 및 도 16에 도시된 바와 같이, 펌프/퍼지 삽입부(330)의 플리넘(336)과 유체 연통하는 개구(338)의 최외측 에지(381)이다.
[0092] 프로세스 스테이션들(110)의 수는 가열기들(230) 및 지지 암들(220)의 수에 따라 변할 수 있다. 일부 실시예들에서, 동일한 수의 가열기들(230), 지지 암들(220), 및 프로세스 스테이션들(110)이 있다. 일부 실시예들에서, 가열기들(230), 지지 암들(220), 및 프로세스 스테이션들(110)은, 가열기들(230)의 지지 표면들(231) 각각이, 동시에, 상이한 프로세스 스테이션들(110)의 전방 면들(214)에 인접하게 위치될 수 있도록 구성된다. 달리 말하면, 가열기들 각각이 동시에 프로세스 스테이션에 포지셔닝된다.
[0093] 프로세싱 챔버(100) 주위의 프로세싱 스테이션들(110)의 간격은 변경될 수 있다. 일부 실시예들에서, 프로세싱 스테이션들(110)은, 기판이 스테이션들 중 하나의 스테이션 외부에서 최소량의 시간 및 이송 거리를 소비하면서 프로세스 스테이션들(110) 사이에서 신속하게 이동될 수 있도록, 스테이션들 사이의 공간을 최소화하기에 충분히 서로 근접해 있다. 일부 실시예들에서, 프로세스 스테이션들(110)은 가열기(230)의 지지 표면(231) 상에서 운송되는 웨이퍼가 항상 프로세스 스테이션들(110) 중 하나의 프로세스 스테이션 내에 있게 하기에 충분히 근접하게 포지셔닝된다.
[0094] 도 17은 본 개시내용의 하나 이상의 실시예에 따른 프로세싱 플랫폼(400)을 도시한다. 도 17에 도시된 실시예는 단지 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 이해되지 않아야 한다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(400)은 프로세싱 챔버들(100), 버퍼 스테이션들(420), 및/또는 로봇(430) 구성들 중 하나 이상을 예시된 실시예와 상이한 개수들로 갖는다.
[0095] 예시적인 프로세싱 플랫폼(400)은 복수의 측면들(411, 412, 413, 414)을 갖는 중앙 이송 스테이션(410)을 포함한다. 도시된 이송 스테이션(410)은 제1 측면(411), 제2 측면(412), 제3 측면(413), 및 제4 측면(414)을 갖는다. 4개의 측면들이 도시되어 있지만, 예컨대, 프로세싱 플랫폼(400)의 전체 구성에 따라, 이송 스테이션(410)에 임의의 적합한 수의 측면들이 있을 수 있음을 당업자는 이해할 것이다. 일부 실시예들에서, 이송 스테이션(410)은 3개의 측면들, 4개의 측면들, 5개의 측면들, 6개의 측면들, 7개의 측면들, 또는 8개의 측면들을 갖는다.
[0096] 이송 스테이션(410)은 이송 스테이션(410)에 포지셔닝된 로봇(430)을 갖는다. 로봇(430)은 프로세싱 동안 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(430)은 제1 암(431) 및 제2 암(432)을 갖는다. 제1 암(431) 및 제2 암(432)은 다른 암과 독립적으로 이동될 수 있다. 제1 암(431) 및 제2 암(432)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(430)은 제3 암(미도시) 또는 제4 암(미도시)을 포함한다. 암들 각각은 다른 암들과 독립적으로 이동할 수 있다.
[0097] 예시된 실시예는 중앙 이송 스테이션(410)의 제2 측면(412), 제3 측면(413), 및 제4 측면(414) 각각에 2개씩 연결된 6개의 프로세싱 챔버들(100)을 포함한다. 프로세싱 챔버들(100) 각각은 상이한 프로세스들을 수행하도록 구성될 수 있다.
[0098] 프로세싱 플랫폼(400)은 또한, 중앙 이송 스테이션(410)의 제1 측면(411)에 연결된 하나 이상의 버퍼 스테이션(420)을 포함할 수 있다. 버퍼 스테이션들(420)은 동일하거나 또는 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은, 프로세싱되고 원래의 카세트로 리턴되는 웨이퍼들의 카세트를 홀딩할 수 있거나, 또는 버퍼 스테이션들 중 하나는, 프로세싱 후에 다른 버퍼 스테이션으로 이동되는 프로세싱되지 않은 웨이퍼들을 홀딩할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 이상은 프로세싱 전 및/또는 후에 웨이퍼들을 사전-처리, 예열, 또는 세정하도록 구성된다.
[0099] 프로세싱 플랫폼(400)은 또한, 프로세싱 챔버들(100) 중 임의의 프로세싱 챔버와 중앙 이송 스테이션(410) 사이에 하나 이상의 슬릿 밸브들(418)을 포함할 수 있다. 슬릿 밸브들(418)은 중앙 이송 스테이션(410) 내의 환경으로부터 프로세싱 챔버(100) 내의 내부 볼륨을 격리시키기 위해 개방 및 폐쇄될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안 플라즈마를 생성할 경우, 스트레이 플라즈마(stray plasma)가 이송 스테이션 내의 로봇을 손상시키는 것을 방지하기 위해, 그 프로세싱 챔버에 대해 슬릿 밸브를 폐쇄하는 것이 유익할 수 있다.
[00100] 프로세싱 플랫폼(400)은 웨이퍼들, 또는 웨이퍼들의 카세트들이 프로세싱 플랫폼(400) 내에 로딩될 수 있게 하기 위해 팩토리 인터페이스(450)에 연결될 수 있다. 팩토리 인터페이스(450) 내의 로봇(455)은 버퍼 스테이션들 내로 그리고 버퍼 스테이션들 밖으로 웨이퍼들 또는 카세트들을 이동시키기 위해 사용될 수 있다. 웨이퍼들 또는 카세트들은 중앙 이송 스테이션(410) 내의 로봇(430)에 의해 프로세싱 플랫폼(400) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(450)는 다른 클러스터 툴(즉, 다른 다중 챔버 프로세싱 플랫폼)의 이송 스테이션이다.
[00101] 제어기(495)가 제공될 수 있고, 프로세싱 플랫폼(400)의 다양한 컴포넌트들에 커플링되어, 그 다양한 컴포넌트들의 동작을 제어할 수 있다. 제어기(495)는 전체 프로세싱 플랫폼(400)을 제어하는 단일 제어기일 수 있거나, 또는 프로세싱 플랫폼(400)의 개별 부분들을 제어하는 다수의 제어기들일 수 있다. 예컨대, 프로세싱 플랫폼(400)은, 개별 프로세싱 챔버들(100), 중앙 이송 스테이션(410), 팩토리 인터페이스(450), 및 로봇들(430) 각각에 대해 별개의 제어기들을 포함할 수 있다.
[00102] 일부 실시예들에서, 제어기(495)는 CPU(central processing unit)(496), 메모리(497), 및 지원 회로들(498)을 포함한다. 제어기(495)는 직접적으로, 또는 특정 프로세스 챔버 및/또는 지원 시스템 컴포넌트들과 연관된 컴퓨터들(또는 제어기들)을 통해, 프로세싱 플랫폼(400)을 제어할 수 있다.
[00103] 제어기(495)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 제어기(495)의 메모리(497) 또는 컴퓨터 판독가능 매체는, 쉽게 입수가능한 메모리, 이를테면 RAM(random access memory), ROM(read only memory), 플로피 디스크, 하드 디스크, 광 저장 매체들(예컨대, 콤팩트 디스크 또는 디지털 비디오 디스크), 플래시 드라이브, 또는 로컬 또는 원격의 임의의 다른 형태의 디지털 저장소 중 하나 이상일 수 있다. 메모리(497)는 프로세싱 플랫폼(400)의 컴포넌트들 및 파라미터들을 제어하기 위해 프로세서(CPU(496))에 의해 동작가능한 명령 세트를 보유할 수 있다.
[00104] 지원 회로들(498)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(496)에 커플링된다. 이들 회로들은 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로망, 및 서브시스템들 등을 포함한다. 하나 이상의 프로세스들은, 프로세서에 의해 실행 또는 인보크(invoke)될 때 프로세서로 하여금 본원에서 설명되는 방식으로 프로세싱 플랫폼(400) 또는 개별 프로세싱 챔버들의 동작을 제어하게 하는 소프트웨어 루틴으로서 메모리(498)에 저장될 수 있다. 또한, 소프트웨어 루틴은 CPU(496)에 의해 제어되고 있는 하드웨어로부터 원격으로 위치된 제2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
[00105] 본 개시내용의 프로세스들 및 방법들 중 일부 또는 전부가 또한 하드웨어로 수행될 수 있다. 따라서, 프로세스는 소프트웨어로 구현되어 컴퓨터 시스템을 사용하여 실행될 수 있거나, 예컨대 애플리케이션 특정 집적 회로(application specific integrated circuit) 또는 다른 타입의 하드웨어 구현으로서 하드웨어로 구현될 수 있거나, 또는 소프트웨어와 하드웨어의 조합으로 구현될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특정 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환시킨다.
[00106] 일부 실시예들에서, 제어기(495)는 방법을 수행하도록 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성들을 갖는다. 제어기(495)는, 방법들의 기능들을 수행하기 위해, 중간 컴포넌트들에 연결되어 중간 컴포넌트들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(495)는, 가스 밸브들, 액추에이터들, 모터들, 슬릿 밸브들, 진공 제어부, 또는 다른 컴포넌트들 중 하나 이상에 연결되어 이들을 제어하도록 구성될 수 있다.
[00107] 도 18a 내지 도 18i는 상이한 프로세스 스테이션들(110)을 갖는 프로세싱 챔버들(100)의 다양한 구성들을 예시한다. 문자가 있는 원들은 상이한 프로세스 스테이션들(110) 및 프로세스 조건들을 표현한다. 예컨대, 도 18a에서, 각각 상이한 문자를 갖는 4개의 프로세스 스테이션들(110)이 있다. 이는 각각의 스테이션이 다른 스테이션들과 상이한 조건들을 갖는 4개의 프로세스 스테이션들(110)을 표현한다. 화살표로 표시된 바와 같이, 웨이퍼들을 갖는 가열기들을 스테이션 A로부터 스테이션 D로 이동시킴으로써 프로세스가 발생할 수 있다. D에 대한 노출 후에, 사이클은 계속될 수 있거나 또는 반전될 수 있다.
[00108] 도 18b에서, 2개 또는 4개의 웨이퍼들이 동시에 프로세싱될 수 있으며, 웨이퍼들은 A 포지션과 B 포지션 사이에서 앞뒤로 가열기들 상에서 이동된다. 2개의 웨이퍼들이 A 포지션들에서 시작할 수 있고, 2개의 웨이퍼들이 B 포지션들에서 시작할 수 있다. 독립적인 프로세스 스테이션들(110)은 제1 사이클 동안 스테이션들 중 2개의 스테이션들이 턴 오프될 수 있게 하여, 각각의 웨이퍼가 A 노출로 시작하게 한다. 가열기들 및 웨이퍼들은 시계 방향 또는 반시계 방향 중 어느 하나의 방향으로 연속적으로 회전될 수 있다. 일부 실시예들에서, 가열기들 및 웨이퍼들은 제1 방향으로(예컨대, A에서 B로) 90°만큼 회전된 후에, 제2 방향으로(예컨대,B에서 다시 A로) 90°만큼 회전된다. 이러한 회전은, 지지 조립체를 약 90° 초과만큼 회전시키지 않으면서, 4개의 웨이퍼들/가열기들이 프로세싱되게 하도록 반복될 수 있다.
[00109] 도 18b에 예시된 실시예는 또한, 4개의 프로세스 스테이션들(110)에서 2개의 웨이퍼들을 프로세싱하는 데 유용할 수 있다. 이는 프로세스들 중 하나가 매우 상이한 압력에서 이루어지거나 또는 A 및 B 프로세스 시간들이 매우 상이한 경우에 특히 유용할 수 있다.
[00110] 도 18c에서, 3개의 웨이퍼들이 ABC 프로세스로 단일 프로세싱 챔버(100)에서 프로세싱될 수 있다. 하나의 스테이션은 턴 오프될 수 있거나 또는 상이한 기능(예컨대, 예열)을 수행할 수 있다.
[00111] 도 18d에서, 2개의 웨이퍼들이 AB-처리 프로세스로 프로세싱될 수 있다. 예컨대, 웨이퍼들은 B 가열기들 상에만 배치될 수 있다. 시계 방향으로의 4분의 1 회전은 하나의 웨이퍼를 A 스테이션에 배치하고, 제2 웨이퍼를 T 스테이션에 배치할 것이다. 다시 되돌리면 웨이퍼들 둘 모두가 B 스테이션들로 이동될 것이고, 반시계 방향으로의 다른 4분의 1 회전은 제2 웨이퍼를 A 스테이션에 배치하고, 제1 웨이퍼를 B 스테이션에 배치할 것이다.
[00112] 도 18e에서, 최대 4개의 웨이퍼들이 동시에 프로세싱될 수 있다. 예컨대, A 스테이션이 CVD 또는 ALD 프로세스를 수행하도록 구성되는 경우, 4개의 웨이퍼들이 동시에 프로세싱될 수 있다.
[00113] 도 18f 내지 도 18i는 3개의 프로세스 스테이션들(110)을 갖는 프로세싱 챔버(100)에 대한 유사한 타입들의 구성들을 도시한다. 간략하게, 도 18f에서, 단일 웨이퍼(또는 하나 초과)가 ABC 프로세스를 거칠 수 있다. 도 18g에서, 하나의 웨이퍼를 A 포지션에 배치하고 다른 하나의 웨이퍼를 B 포지션들 중 하나에 배치함으로써, 2개의 웨이퍼들이 AB 프로세스를 거칠 수 있다. 이어서, 웨이퍼들은 앞뒤로 이동될 수 있고, 그에 따라, B 포지션에서 시작하는 웨이퍼가 제1 이동에서 A 포지션으로 이동하고, 그 후에, 동일한 B 포지션으로 되돌아 가게 된다. 도 18h에서, 웨이퍼가 AB-처리 프로세스를 거칠 수 있다. 도 18i에서, 3개의 웨이퍼들이 동시에 프로세싱될 수 있다.
[00114] 도 19a 및 도 19b는 본 개시내용의 다른 실시예를 예시한다. 도 19a는 웨이퍼(101)가 가스 주입기(112)에 인접하게 되도록 프로세스 스테이션(110) 아래의 포지션으로 회전된 가열기(230) 및 지지 플레이트(245)의 부분도를 도시한다. 가열기(230)의 외측 부분 또는 지지 플레이트(245) 상의 O-링(329)은 이완된 상태에 있다.
[00115] 도 19b는 가열기(230)의 지지 표면(231)이 프로세스 스테이션(110) 내의 가스 주입기(112)의 전방 면(114)과 접촉하거나 또는 거의 접촉하게 되도록 프로세스 스테이션(110) 쪽으로 이동된 후의 지지 플레이트(245) 및 가열기(230)를 도시한다. 이 포지션에서, O-링(329)은 압축되어, 지지 플레이트(245)의 외측 에지 또는 가열기(230)의 외측 부분 주위에 밀봉을 형성한다. 이는, 반응 구역(219)이 신속하게 퍼징될 수 있도록 반응 구역(219)의 볼륨을 최소화하기 위해, 웨이퍼(101)가 가능한 가스 주입기(112)에 근접하게 이동될 수 있게 한다.
[00116] 반응 구역(219) 유출될 수 있는 가스들은 개구(338)를 통해 플리넘(336) 내로 그리고 배기부 또는 포어라인(미도시)으로 진공배기된다. 퍼지 가스 플리넘(370) 및 퍼지 가스 포트(371)에 의해 개구(338) 외부에 퍼지 가스 커튼이 생성될 수 있다. 부가적으로, 가열기(230)와 지지 플레이트(245) 사이의 갭(137)은 반응 구역(219)을 추가로 커튼 오프(curtain off)하여 반응성 가스들이 프로세싱 챔버(100)의 내부 볼륨(109) 내로 유동하는 것을 방지하는 것을 도울 수 있다.
[00117] 도 17을 다시 참조하면, 일부 실시예들의 제어기(495)는, 복수의 프로세싱 챔버들 사이에서 로봇을 통해 기판을 이동시키기 위한 구성; 시스템에 기판들을 로딩하고 그리고/또는 시스템으로부터 기판들을 언로딩하기 위한 구성; 슬릿 밸브들을 개방/폐쇄하기 위한 구성; 가열기들 중 하나 이상에 전력을 제공하기 위한 구성; 가열기들의 온도를 측정하기 위한 구성; 가열기들 상의 웨이퍼들의 온도를 측정하기 위한 구성; 가열기들에 웨이퍼들을 로딩하거나 또는 가열기들로부터 웨이퍼들을 언로딩하기 위한 구성; 온도 측정과 가열기 전력 제어 사이에 피드백을 제공하기 위한 구성; 회전 축을 중심으로 지지 조립체를 회전시키기 위한 구성; 회전 축을 따라(즉, z-축을 따라) 지지 조립체를 이동시키기 위한 구성; 지지 조립체의 회전 속력을 세팅 또는 변화시키기 위한 구성; 가스 주입기에 가스의 유동을 제공하기 위한 구성; 가스 주입기에 플라즈마를 생성하기 위해 하나 이상의 전극들에 전력을 제공하기 위한 구성; 플라즈마 소스를 위한 전력 공급부를 제어하기 위한 구성; 플라즈마 소스 전력 공급부의 주파수 및/또는 전력을 제어하기 위한 구성; 및/또는 열 어닐링 처리 스테이션을 위한 제어를 제공하기 위한 구성으로부터 선택되는 하나 이상의 구성들을 갖는다.
[00118] 본 명세서의 전체에 걸친 "일 실시예", "특정 실시예들", "하나 이상의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 위치들에서의 "하나 이상의 실시예들에서", "특정 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정 피처들, 구조들, 재료들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[00119] 본원의 개시내용이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 개시내용의 애플리케이션들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서, 본 개시내용의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 개시내용이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 회전 축을 정의하는 회전가능 중앙 베이스;
    상기 중앙 베이스로부터 연장되는 적어도 2개의 지지 암들 ― 상기 지지 암들 각각은 상기 중앙 베이스와 접촉하는 내측 단부, 및 외측 단부를 가짐 ―; 및
    상기 지지 암들 각각의 외측 단부 상에 포지셔닝된 가열기
    를 포함하며,
    가열기들은 지지 표면을 갖는,
    지지 조립체.
  2. 제1 항에 있어서,
    상기 지지 암들은 상기 회전 축에 직각으로 연장되는,
    지지 조립체.
  3. 제1 항에 있어서,
    3개의 지지 암들 및 3개의 가열기들이 있는,
    지지 조립체.
  4. 제1 항에 있어서,
    4개의 지지 암들 및 4개의 가열기들이 있는,
    지지 조립체.
  5. 제1 항에 있어서,
    상기 가열기의 지지 표면들은 실질적으로 동일 평면에 있는,
    지지 조립체.
  6. 제1 항에 있어서,
    상기 가열기들의 중심은, 상기 중앙 베이스의 회전 시에 상기 가열기들이 원형 경로로 이동하도록, 상기 회전 축으로부터 일정 거리에 위치되는,
    지지 조립체.
  7. 제1 항에 있어서,
    상기 중앙 베이스에 연결된 적어도 하나의 모터를 더 포함하며,
    상기 적어도 하나의 모터는 상기 회전 축을 중심으로 상기 지지 조립체를 회전시키도록 구성되는,
    지지 조립체.
  8. 제1 항에 있어서,
    상기 가열기들 주위에 포지셔닝된 적어도 하나의 밀봉 플랫폼을 더 포함하며,
    상기 밀봉 플랫폼은 상기 가열기의 지지 표면에 의해 형성되는 주 평면과 실질적으로 평행한 주 평면을 형성하는 최상부 표면을 갖는,
    지지 조립체.
  9. 제8 항에 있어서,
    각각의 가열기는 상기 가열기 주위에 포지셔닝된 밀봉 플랫폼을 갖고, 밀봉 플랫폼들은 링 형상 최상부 표면을 형성하는,
    지지 조립체.
  10. 제8 항에 있어서,
    하나의 밀봉 플랫폼이 있으며, 상기 하나의 밀봉 플랫폼은 상기 가열기들 각각이 상기 밀봉 플랫폼을 통과하기 위한 개구들을 갖는,
    지지 조립체.
  11. 내부 볼륨을 정의하는, 벽들, 최하부, 및 최상부를 갖는 하우징(housing);
    상기 하우징의 내부 볼륨 내의 복수의 프로세스 스테이션들 ― 상기 프로세스 스테이션들은 회전 축 주위에 원형 어레인지먼트(arrangement)로 포지셔닝되고, 각각의 프로세스 스테이션은 전방 면을 갖는 가스 주입기를 포함하고, 가스 주입기들 각각의 전방 면은 실질적으로 동일 평면에 있음 ―; 및
    상기 하우징의 내부 볼륨 내의 지지 조립체
    를 포함하며,
    상기 지지 조립체는 상기 복수의 프로세스 스테이션들 아래에 포지셔닝되고, 상기 지지 조립체는 복수의 지지 암들을 갖는 회전가능 중앙 베이스를 포함하고, 상기 복수의 지지 암들은 상기 중앙 베이스로부터 연장되고, 각각의 지지 암은 상기 중앙 베이스와 접촉하는 내측 단부, 및 외측 단부를 갖고, 상기 지지 암들 각각의 외측 단부 상에 가열기가 포지셔닝되고, 가열기들은 지지 표면을 갖는,
    프로세싱 챔버.
  12. 제11 항에 있어서,
    상기 가열기들의 지지 표면들 각각이 동시에 상이한 프로세스 스테이션의 전방 면에 인접하게 위치될 수 있도록 구성된 동일한 수의 가열기들, 지지 암들, 및 프로세스 스테이션들이 있는,
    프로세싱 챔버.
  13. 제11 항에 있어서,
    상기 가열기의 지지 표면들은 실질적으로 동일 평면에 있는,
    프로세싱 챔버.
  14. 제11 항에 있어서,
    상기 중앙 베이스에 연결된 적어도 하나의 모터를 더 포함하며,
    상기 적어도 하나의 모터는 상기 회전 축을 중심으로 상기 지지 조립체를 회전시키도록 구성되는,
    프로세싱 챔버.
  15. 제11 항에 있어서,
    각각의 가열기는 상기 가열기 주위에 포지셔닝된 밀봉 플랫폼을 더 포함하며, 상기 밀봉 플랫폼은 상기 가열기의 지지 표면에 의해 형성되는 주 평면과 실질적으로 평행한 주 평면을 형성하는 최상부 표면을 갖는,
    프로세싱 챔버.
KR1020227010807A 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들 KR102614522B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237021324A KR20230100751A (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762578365P 2017-10-27 2017-10-27
US62/578,365 2017-10-27
PCT/US2018/057685 WO2019084386A1 (en) 2017-10-27 2018-10-26 SINGLE WAFER PROCESSING ENVIRONMENTS WITH SPACE SEPARATION
KR1020207014767A KR102383687B1 (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207014767A Division KR102383687B1 (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237021324A Division KR20230100751A (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들

Publications (2)

Publication Number Publication Date
KR20220046004A true KR20220046004A (ko) 2022-04-13
KR102614522B1 KR102614522B1 (ko) 2023-12-15

Family

ID=66244211

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020237021324A KR20230100751A (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
KR1020207014767A KR102383687B1 (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
KR1020227010807A KR102614522B1 (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020237021324A KR20230100751A (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
KR1020207014767A KR102383687B1 (ko) 2017-10-27 2018-10-26 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들

Country Status (6)

Country Link
US (2) US11894257B2 (ko)
JP (2) JP7337786B2 (ko)
KR (3) KR20230100751A (ko)
CN (1) CN111212931A (ko)
TW (3) TWI802439B (ko)
WO (1) WO2019084386A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6674800B2 (ja) * 2016-03-07 2020-04-01 日本特殊陶業株式会社 基板支持装置
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
TW202117067A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於改善均勻性的抖動或動態偏移
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
TW202125689A (zh) * 2019-10-11 2021-07-01 美商應用材料股份有限公司 用於空間多晶圓處理工具的基座加熱器
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220106683A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
CN112331598B (zh) * 2020-10-27 2023-06-20 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 晶圆承载装置和晶圆分离设备
US20220186367A1 (en) * 2020-12-13 2022-06-16 Applied Materials, Inc. Deposition Apparatus and Methods Using Staggered Pumping Locations
CN113314447B (zh) * 2021-02-01 2024-04-02 中科晶源微电子技术(北京)有限公司 晶片转移装置、腔体装置、晶片处理设备
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
US11915918B2 (en) * 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090301653A1 (en) * 2006-01-25 2009-12-10 On Track Innovations Ltd. Apparatus and process for producing document core inlays
JP2010084192A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 成膜装置
US20160217999A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Spatially Separated Injector Chamber
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0825151B2 (ja) 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
EP0917596B1 (en) * 1997-04-10 2002-06-12 Uniphase Opto Holdings, Inc. Method of manufacturing a semiconductor device and a device for applying such a method
US6105592A (en) * 1997-07-21 2000-08-22 Semitool, Inc. Gas intake assembly for a wafer processing system
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
DE10043600B4 (de) * 2000-09-01 2013-12-05 Aixtron Se Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf einem oder mehreren, insbesondere ebenfalls kristallinen Substraten
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6764658B2 (en) 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20050084610A1 (en) 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100553685B1 (ko) 2003-05-14 2006-02-24 삼성전자주식회사 반도체 기판을 컨테이너로부터 언로딩하는 이송장치 및이송방법
US7682454B2 (en) 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
WO2006088463A1 (en) 2005-02-17 2006-08-24 Selitser Simon I Atmospheric pressure molecular layer cvd
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) * 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US20090016853A1 (en) 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
CN101755073B (zh) 2007-07-30 2011-10-12 Ips股份有限公司 在晶圆上沉积薄膜的反应器
EP2207911A1 (en) * 2007-08-17 2010-07-21 Epispeed S.A. Apparatus and method for producing epitaxial layers
US9287096B2 (en) 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP4974873B2 (ja) * 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
KR20090114132A (ko) * 2008-04-29 2009-11-03 엘지이노텍 주식회사 반도체 제조장치
KR100978569B1 (ko) * 2008-06-02 2010-08-27 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP2010034505A (ja) 2008-06-30 2010-02-12 Canon Anelva Corp 積層ロードロックチャンバおよびそれを備えた基板処理装置
JP5173684B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
CN101665919A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置、成膜方法
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
EP2380192A4 (en) * 2008-12-19 2014-07-30 Lam Res Ag DEVICE FOR TREATING DISC-SHAPED ARTICLES AND METHOD FOR IMPLEMENTING THE SAME
JP4707749B2 (ja) 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8344559B2 (en) 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20110120375A1 (en) 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
JP2011187695A (ja) * 2010-03-09 2011-09-22 Taiyo Nippon Sanso Corp 気相成長方法
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP5572515B2 (ja) 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
KR102427795B1 (ko) 2010-11-10 2022-08-01 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치 및 기판 운송 장치
JP5727888B2 (ja) 2011-02-28 2015-06-03 株式会社吉野工業所 吐出容器
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
KR101502856B1 (ko) * 2011-05-25 2015-03-17 세메스 주식회사 기판 처리 장치 및 기판지지부재의 위치 검출 방법
US20120321788A1 (en) * 2011-06-16 2012-12-20 Pinecone Material Inc. Rotation system for thin film formation
KR20130106906A (ko) 2012-03-21 2013-10-01 주식회사 윈텔 기판 처리 장치 및 기판 처리 방법
US9091397B2 (en) 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
KR20130119211A (ko) * 2012-04-23 2013-10-31 (주)제이하라 기판처리장치용 트레이
CN104823272B (zh) 2012-11-30 2017-07-14 应用材料公司 具有非等长前臂的多轴机械手设备、电子装置制造系统、及用于在电子装置制造中传送基板的方法
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP6190645B2 (ja) 2013-07-09 2017-08-30 東京エレクトロン株式会社 基板搬送方法
CN104752300B (zh) * 2013-12-31 2018-09-18 北京北方华创微电子装备有限公司 静电卡盘及反应腔室
WO2015103358A1 (en) 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9779971B2 (en) 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
TWI696724B (zh) 2014-09-10 2020-06-21 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10597779B2 (en) * 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
US10204790B2 (en) * 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
WO2017019250A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B. V. Methods and apparatuses for thin film deposition
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
JP5938506B1 (ja) 2015-09-17 2016-06-22 株式会社日立国際電気 基板処理システム、半導体装置の製造方法、プログラム及び記録媒体
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
JP6740881B2 (ja) * 2016-02-02 2020-08-19 東京エレクトロン株式会社 基板処理装置
US20170314132A1 (en) 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc. Plasma reactor having divided electrodes
US20170314133A1 (en) 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc Plasma reactor having divided electrodes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090301653A1 (en) * 2006-01-25 2009-12-10 On Track Innovations Ltd. Apparatus and process for producing document core inlays
JP2010084192A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 成膜装置
US20160217999A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Spatially Separated Injector Chamber
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber

Also Published As

Publication number Publication date
JP2022133280A (ja) 2022-09-13
TW201923953A (zh) 2019-06-16
JP2021501465A (ja) 2021-01-14
JP7451601B2 (ja) 2024-03-18
TWI768849B (zh) 2022-06-21
KR20230100751A (ko) 2023-07-05
KR102383687B1 (ko) 2022-04-08
WO2019084386A1 (en) 2019-05-02
KR20200062360A (ko) 2020-06-03
TWI802439B (zh) 2023-05-11
JP7337786B2 (ja) 2023-09-04
KR102614522B1 (ko) 2023-12-15
US11894257B2 (en) 2024-02-06
TW202243101A (zh) 2022-11-01
TWI729319B (zh) 2021-06-01
US20240096688A1 (en) 2024-03-21
TW202347596A (zh) 2023-12-01
US20190131167A1 (en) 2019-05-02
CN111212931A (zh) 2020-05-29
TW202135223A (zh) 2021-09-16

Similar Documents

Publication Publication Date Title
KR102383687B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
WO2019222320A1 (en) Atomic layer self aligned substrate processing and integrated toolset
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
JP2023113690A (ja) 空間堆積ツールを操作する方法
KR102630443B1 (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
TWI838222B (zh) 具有空間分離的單個晶圓處理環境
JP7249407B2 (ja) 補完的なパターンのステーション設計

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2023101001351; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20230623

Effective date: 20231027

GRNO Decision to grant (after opposition)
GRNT Written decision to grant