CN111212931A - 具有空间分离的单个晶片处理环境 - Google Patents

具有空间分离的单个晶片处理环境 Download PDF

Info

Publication number
CN111212931A
CN111212931A CN201880066232.3A CN201880066232A CN111212931A CN 111212931 A CN111212931 A CN 111212931A CN 201880066232 A CN201880066232 A CN 201880066232A CN 111212931 A CN111212931 A CN 111212931A
Authority
CN
China
Prior art keywords
support
heater
processing
support assembly
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880066232.3A
Other languages
English (en)
Inventor
迈克尔·赖斯
约瑟夫·奥布赫恩
桑吉夫·巴鲁贾
曼德亚姆·斯里拉姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111212931A publication Critical patent/CN111212931A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

描述了用来处理一或多个晶片的装置及方法。围绕旋转轴用圆形配置布置了多个处理站。具有限定旋转轴的可旋转中心基部、从所述中心基部延伸的至少两个支撑臂、及所述支撑臂中的每个上的加热器的支撑组件被定位为与所述处理站相邻,使得可在各种处理站之间移动所述加热器以执行一或多种处理条件。

Description

具有空间分离的单个晶片处理环境
技术领域
本公开内容大致与用于沉积薄膜的装置相关。详细而言,本公开内容与具有多个可动加热晶片支撑件及空间分离的处理站的装置相关。
背景技术
目前的原子层沉积(ALD)工艺具有许多潜在的问题及困难。许多ALD化学物质(例如前驱物及反应物)是“不兼容的”,这意味着所述化学物质不能被混合在一起。若不兼容的化学物质混合,则化学气相沉积(CVD)工艺可能发生而不是ALD工艺。CVD工艺一般具有较ALD工艺为低的厚度控制,及/或可能造成气相粒子的产生,气相粒子的产生可能造成生成设备中的缺陷。对于一次将单种反应气体流到处理腔室中的传统时域ALD工艺而言,存在着长的净化/泵出时间,使得化学物质不在气相下混合。空间性ALD腔室可较时域ALD腔室所能够泵送/净化的速度为快速地从一个环境向第二环境移动一或多个晶片,而造成较高的产量。
半导体工业需要可在较低的温度下(例如低于350℃)沉积的高质量的膜。为了在低于会用唯热工艺来沉积膜的温度的温度下沉积高质量的膜,需要替代的能量源。可使用等离子体解决方案来向ALD膜提供离子及自由基形式的额外能量。挑战是在垂直侧壁ALD膜上得到充足的能量。一般是在与晶片表面正交的方向上将离子加速通过晶片表面上方的鞘。因此,离子向水平ALD膜表面提供了能量,但向垂直面提供了不足量的能量,因为离子与垂直面平行地移动。
一些处理腔室并入了电容耦合等离子体(CCP)。CCP是在顶电极与晶片之间产生的,这常称为CCP平行板等离子体。CCP平行板等离子体跨两个鞘产生了非常高的离子能量,且因此在垂直侧壁面上表现得非常不良。通过在空间上将晶片移动到针对用较低的能量及对于晶片表面用较广的角度分布产生高自由基通量及离子通量而优化的环境,可达成较佳的垂直ALD膜性质。此类等离子体源包括微波、电感耦合等离子体(ICP)、或具有第三个电极的较高频率CCP解决方案(亦即等离子体是在晶片上方的两个电极之间产生的且不将晶片用作主要电极)。
目前的空间性ALD处理腔室用恒速在加热的圆形台板上旋转多个晶片,这将晶片从一个处理环境移动到相邻的环境。不同的处理环境产生了不兼容的气体的分离。然而,目前的空间性ALD处理腔室并不允许针对等离子体暴露优化等离子体环境,而造成不均匀性、等离子体损伤及/或处理弹性问题。
例如,处理气体跨晶片表面而流动。因为晶片围绕偏移轴而旋转,晶片的前缘及后缘具有不同的流线。此外,在晶片的内径边缘与外径边缘之间亦存在着由在内缘处的较慢速度及外缘处的较快速度所造成的流量差。这些流量不均匀性可被优化但不能被消除。可能在将晶片暴露于不均匀的等离子体时产生等离子体损伤。这些空间性处理腔室的恒速旋转需要将晶片移进及移出等离子体,且因此晶片中的一些部分暴露于等离子体同时其他区域是在等离子体之外的。并且,由于恒定的转速,可能难以改变空间性处理腔室中的暴露时间。举个例子,一种工艺使用0.5秒暴露于气体A,之后是1.5秒的等离子体处理。因为工具在恒定的转速下运行,进行此步骤的唯一的方式是使得等离子体环境比气体A分配环境大3倍。若要执行气体A及等离子体时间是相等的另一工艺,则会需要改变硬件。目前的空间性ALD腔室仅可将转速慢下来或加速,但不能在不改变腔室硬件以用于较小或较大的区域的情况下调整步骤之间的时间差。因此,在本领域中存在着改良的沉积装置及方法的需要。
发明内容
本公开案的一或多个实施方式针对包括可旋转中心基部、至少两个支撑臂及加热器的支撑组件。所述可旋转中心基部限定旋转轴。所述支撑臂中的每个从所述中心基部延伸,且具有与所述中心基部接触的内端、及外端。具有支撑面的加热器定位在所述支撑臂中的每个的所述外端上。
本公开内容的额外实施方式针对包括外壳、多个处理站及支撑组件的处理腔室。所述外壳具有限定内部容积的壁、底部及顶部。所述多个处理站在所述外壳的所述内部容积中。所述处理站是围绕旋转轴用圆形布置定位的。所述处理站中的每个包括具有前面的气体注射器。所述气体注射器中的每个的所述前面是实质共面的。所述支撑组件在所述外壳的定位在所述多个处理站下方的所述内部容积中。所述支撑组件包括可旋转中心基部,所述可旋转中心基部具有从所述中心基部延伸的多个支撑臂。各个支撑臂具有与所述中心基部接触的内端、及外端。具有支撑面的加热器定位在所述支撑臂中的每个的所述外端上。
附图说明
可通过参照实施方式来获得可用以详细了解上文所载的本公开内容特征的方式及上文简要概述的本公开内容的更详细描述,所述实施方式中的一些被绘示在附图中。然而,要注意,附图仅绘示此公开内容的一般实施方式且因此并不视为本公开内容的范围的限制,因为本公开内容可容许其他等效的实施方式。
图1示出依据本公开内容的一或多个实施方式的处理腔室的横截面等角视图;
图2示出依据本公开内容的一或多个实施方式的处理腔室的横截面图;
图3示出依据本公开内容的一或多个实施方式的支撑组件的仰视平行投影图;
图4示出依据本公开内容的一或多个实施方式的支撑组件的俯视平行投影图;
图5示出依据本公开内容的一或多个实施方式的支撑组件的俯视平行投影图;
图6示出依据本公开内容的一或多个实施方式的支撑组件的横截面侧视图;
图7示出依据本公开内容的一或多个实施方式的支撑组件的部分横截面侧视图;
图8示出依据本公开内容的一或多个实施方式的支撑组件的部分横截面侧视图;
图9是依据本公开内容的一或多个实施方式的支撑组件的部分横截面侧视图;
图10A是依据本公开内容的一或多个实施方式的支撑板的俯视等角视图;
图10B是图10A的支撑板沿着线10B-10B’截取的横截面侧视图;
图11A示出依据本公开内容的一或多个实施方式的支撑板的仰视等角视图;
图11B是图11A的支撑板沿着线11B-11B’截取的横截面侧视图;
图12A示出依据本公开内容的一或多个实施方式的支撑板的仰视等角视图;
图12B是图12A的支撑板沿着线12B-12B’截取的横截面侧视图;
图13是依据本公开内容的一或多个实施方式的处理腔室的顶板的横截面等角视图;
图14是依据本公开内容的一或多个实施方式的处理站的分解横截面图;
图15是依据本公开内容的一或多个实施方式的处理腔室的顶板的示意横截面侧视图;
图16是依据本公开内容的一或多个实施方式的处理腔室中的处理站的部分横截面侧视图;
图17是依据本公开内容的一或多个实施方式的处理平台的示意表示;
图18A到图18I示出依据本公开内容的一或多个实施方式的处理腔室中的处理站配置的示意图;
图19A及图19B示出依据本公开内容的一或多个实施方式的工艺的示意表示;及
图20示出依据本公开内容的一或多个实施方式的支撑组件的横截面示意表示。
具体实施方式
在描述本公开内容的若干示例性实施方式之前,要了解,本公开内容不限于以下说明中所阐述的构造或工艺步骤的细节。本公开内容能够包括其他实施方式及用各种方式实行或实现。
如本文中所使用的“基板”指的是任何基板或形成于基板上的材料表面,膜处理在制造工艺期间执行于所述基板或材料表面上。例如,取决于应用,可于上面执行处理的基板表面包括例如为硅、氧化硅、应变硅、绝缘体上硅(SOI)、掺碳的氧化硅、非晶硅、经掺杂的硅、锗、砷化镓、玻璃、蓝宝石的材料及例如为金属、氮化金属、金属合金及其他导电材料的任何其他材料。基板包括(但不限于)半导体晶片。可将基板暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理以外,在本公开内容中,亦可如下文更详细公开地将所公开的任何膜处理步骤执行于形成在基板上的下层(under-layer)上,且用语“基板表面”在上下文指示时要包括此类下层。因此,例如,若已将膜/层或部分的膜/层沉积到基板表面上,则新沉积的膜/层的受暴面变成基板表面。
如此说明书及随附权利要求中所使用的,用语“前驱物”、“反应物”、“反应气体”等等被交替使用以指称可与基板表面或与形成于基板表面上的膜反应的任何气态物种。
本公开内容的一或多个实施方式使用两或更多个处理环境之间的空间分离。一些实施方式有利地提供了用来维持不兼容的气体的分离的装置及方法。一些实施方式有利地提供了包括可优化的等离子体处理的装置及方法。一些实施方式有利地提供了允许差异化热分配环境、差异化等离子体处理环境及其他环境的装置及方法。
本公开内容的一或多个实施方式针对具有四个空间分离的处理环境(亦称为处理站)的处理腔室。一些实施方式具有多于四个的空间分离的处理环境,而一些实施方式具有少于四个的空间分离的处理环境。可将处理环境安装为与在水平面上移动的晶片共面。处理环境是用圆形布置安置的。在上面安装有具有一到四个(或更多个)单独的晶片加热器的可旋转结构在具有与处理环境类似的直径的圆形路径中移动晶片。各个加热器可以是温度受控的且可具有一或多个同心区。针对晶片装载,可降低可旋转结构,使得真空机器人可捡取完成的晶片且将未处理的晶片安置在定位在各个晶片加热器(处于较低的Z位置中)上方的升降杆上。操作时,各个晶片可以处于独立的环境下,直到工艺完成为止,接着可旋转结构可旋转以将加热器上的晶片移动到下个环境(对于四个站来说是90°旋转,若是三个站的话则是120°旋转)以供进行处理。
本公开内容的一些实施方式有利地将ALD的空间分离提供给不兼容的气体。一些实施方式相较于传统的时域或空间性处理腔室而言允许较高的产量及工具资源利用。各个处理环境可以在不同的压力下操作。加热器旋转具有Z方向的运动,所以可将各个加热器密封到腔室中。
一些实施方式有利地提供了可包括微波、ICP、平行板CCP或3电极CCP中的一或多个的等离子体环境。可将整个晶片浸入在等离子体中,而消除了来自跨晶片的不均匀等离子体的等离子体损伤。
在一些实施方式中,可使用喷头与晶片之间的小型间隙来增加分配气体的利用及循环时间速度。精确的喷头温度控制及高操作范围(最高230℃)。虽然不被现有理论束缚,但相信,喷头温度与晶片温度越接近,晶片温度均匀性就越佳。
喷头可包括小型气孔(<200μm)、大量的气孔(好几千到大于1000万)及使用小的分布容积在喷头内部递归地馈送气体分布以增加速度。可藉由激光钻孔及干式蚀刻来产生小尺寸及大量的气孔。在晶片靠近喷头时,存在着朝向晶片通过垂直孔的气体经历的涡流。一些实施方式允许较慢速的气体通过使用紧密地隔在一起的大量孔的喷头,而对晶片表面达成均匀的分布。
一些实施方式针对使用单个工具上的多个空间分离的处理站(腔室)的集成处理平台。处理平台可具有可执行不同工艺的各种腔室。
本公开内容的一些实施方式针对用来将附接到晶片加热器的晶片从一个环境移动到另一环境的装置及方法。可通过将晶片静电夹紧(或夹持)到加热器来允许快速移动。晶片的移动可呈现直线或圆形的运动。
本公开内容的一些实施方式针对处理一或多个基板的方法。示例包括(但不限于):将一个加热器上的一个晶片运行到空间分离的多个不同的连序环境;将两个晶片加热器上的两个晶片运行到三个环境(两个环境是相同的且一个不同的环境在所述两个类似环境之间);晶片一遇见环境A接着是B且重复,而晶片二遇见B接着是A且重复;一个环境保持闲置(没有晶片);在两个第一环境及两个第二环境中运行两个晶片,其中两个晶片同时遇见相同的环境(亦即两个晶片在A中接着都行进到B);两个A及两个B环境的情况下的四个晶片;及两个晶片在A环境中处理,同时另两个晶片在B环境中处理。在一些实施方式中,晶片重复地暴露于环境A及环境B,且接着暴露于定位在相同腔室中的第三环境。
在一些实施方式中,晶片通过多个腔室以供进行处理,其中腔室中的至少一个用相同腔室内的多个空间分离的环境进行连续处理。
一些实施方式针对具有相同腔室内的空间分离的处理环境的装置,其中所述环境处于显著不同的压力下(例如一个环境<100mT而另一环境>3T)。在一些实施方式中,加热器旋转机器人在z轴上移动以将各个晶片/加热器密封到空间分离的环境中。
一些实施方式包括建造在腔室上方的具有垂直结构构件的结构,所述垂直结构构件向上向腔室盖的中心施加力以消除由顶侧上的大气压力及另一侧上的真空所造成的挠曲。可基于顶板的挠曲来机械调整上述结构的力幅度。可使用反馈电路及力传感器来自动完成力调整,或人工地使用例如可由操作员转动的螺钉来完成力调整。
图1及图2绘示了依据本公开内容的一或多个实施方式的处理腔室100。图1示出依据本公开内容的一或多个实施方式的绘示为横截面等角视图的处理腔室100。图2示出依据本公开内容的一或多个实施方式的处理腔室100的横截面。因此,本公开内容的一些实施方式针对并入支撑组件200及顶板300的处理腔室100。
处理腔室100具有外壳102,所述外壳具有壁104及底部106。外壳102以及顶板300限定了内部容积109(亦称为处理容积)。
处理腔室100包括多个处理站110。处理站110定位在外壳102的内部容积109中,且是围绕支撑组件200的旋转轴211用圆形布置来定位的。处理站110在空间上围绕处理腔室100的内部容积109而布置。各个处理站110包括具有前面114的气体注射器112。在一些实施方式中,气体注射器112中的每个的前面114是实质共面的。处理站110被限定为内部可发生处理的区域。例如,处理站110可由加热器230的支撑面231(如下文所述)、及气体注射器112的前面114所限定。
处理站110可被配置为执行任何合适的工艺及提供任何合适的处理条件。所使用的气体注射器112的类型将例如取决于所执行的工艺的类型及喷头或气体注射器的类型。例如,被配置为操作为原子层沉积装置的处理站110可具有喷头或旋涡类型的气体注射器。然而,被配置为操作为等离子体站的处理站110可具有一或多个电极及/或接地板配置以产生等离子体同时允许等离子体气体朝向晶片流动。图2中所绘示的实施方式在绘图的左侧(处理站110a)上具有与在绘图的右侧(处理站110b)上不同的类型的处理站110。合适的处理站110包括(但不限于)热处理站、微波等离子体、三电极CCP、ICP、平行板CCP、UV暴露、激光处理、泵送腔室、退火站及计量站。
图3到图6绘示依据本公开内容的一或多个实施方式的支撑组件200。支撑组件200包括可旋转的中心基部210。可旋转的中心基部210可具有对称或不对称的形状且限定了旋转轴211。如可从图6中看见的,旋转轴211在第一方向上延伸。第一方向可称为垂直方向或沿着z轴;然而,将了解到,用语“垂直”的如此使用并不限于与重力牵引正交的方向。
支撑组件200包括至少两个支撑臂220,所述至少两个支撑臂220连接到中心基部210及从所述中心基部延伸。支撑臂220具有内端221及外端222。内端221与中心基部210接触,使得在中心基部210围绕旋转轴211而旋转时,支撑臂220亦旋转。可通过固定器(例如螺栓)或通过与中心基部210一体地形成在一起,来将支撑臂220在内端221处连接到中心基部210。
在一些实施方式中,支撑臂220与旋转轴211正交地延伸,使得内端221或外端222中的一个相较于相同的支撑臂220上的内端221及外端222中的另一个而言距旋转轴211较远。在一些实施方式中,支撑臂220的内端221较相同的支撑臂220的外端222靠近旋转轴211。
支撑组件200中的支撑臂220的数量可以变化。在一些实施方式中,存在着至少两个支撑臂220、至少三个支撑臂220、至少四个支撑臂220或至少五个支撑臂220。在一些实施方式中,存在着三个支撑臂220。在一些实施方式中,存在着四个支撑臂220。在一些实施方式中,存在着五个支撑臂220。在一些实施方式中,存在着六个支撑臂220。
可将支撑臂220围绕中心基部210而对称地布置。例如,在具有四个支撑臂220的支撑组件200中,支撑臂220中的每个是围绕中心基部210用90°的区间定位的。在具有三个支撑臂220的支撑组件200中,支撑臂220是围绕中心基部210用120°的区间定位的。换言之,在具有四个支撑臂220的实施方式中,支撑臂被布置为围绕旋转轴211提供四重对称性。在一些实施方式中,支撑组件200具有n个支撑臂220,且n个支撑臂220被布置为围绕旋转轴211提供n重对称性。
加热器230定位在支撑臂220的外端222处。在一些实施方式中,各个支撑臂220具有加热器230。加热器230的中心定位在距旋转轴211的一定距离处,使得在旋转中心基部210之后,加热器230就在圆形路径中移动。
加热器230具有可支撑晶片的支撑面231。在一些实施方式中,加热器230支撑面231是实质共面的。如用此方式来使用的,“实质共面”意味着,由单独的支撑面231所形成的平面是在由其他支撑面231所形成的平面的±5°、±4°、±3°、±2°或±1°内的。
在一些实施方式中,加热器230被直接定位在支撑臂220的外端222上。在一些实施方式中,如绘图中所绘示,加热器230被加热器间隙器234升高到支撑臂220的外端222上方。加热器间隙器234可以是任何的尺寸及长度以增加加热器230的高度。
在一些实施方式中,通道236形成于中心基部210、支撑臂220及/或加热器间隙器234中的一或多个中。通道236可用来给电气连接安排路线或提供气流。
加热器可以是技术人员所公知的任何合适类型的加热器。在一些实施方式中,加热器是在加热器主体内具有一或多个加热元件的电阻式加热器。
一些实施方式的加热器230包括额外的部件。例如,加热器可包括静电吸盘。静电吸盘可包括各种导线及电极,使得可在加热器移动的同时将定位在加热器支撑面231上的晶片固持在适当的位置。这允许在工艺的开始将晶片夹到加热器上,且在移动到不同的处理区域的同时将所述晶片保持在相同加热器上的相同位置中。在一些实施方式中,导线及电极的路线被安排通过支撑臂220中的通道236。图7示出支撑组件200的一部分的分解图,其中示出了通道236。通道236沿着支撑臂220及加热器间隙器234延伸。第一电极251a及第二电极251b与加热器230或与加热器230内部的部件(例如电阻线)电连通。第一导线253a在第一连接器252a处连接到第一电极251a。第二导线253b在第二连接器252b处连接到第二电极251b。
在一些实施方式中,温度测量设备(例如高温计、热阻器、热电耦)定位在通道236内以测量加热器230温度或加热器230上的基板的温度中的一或多个。在一些实施方式中,温度测量设备的控制及/或测量导线的路线被安排通过通道236。在一些实施方式中,一或多个温度测量设备定位在处理腔室100内以测量加热器230及/或加热器230上的晶片的温度。合适的温度测量设备是技术人员公知的,且包括(但不限于)光学高温计及接点式热电耦。
可将导线的路线安排通过支撑臂220及支撑组件200以与电源(未示出)连接。在一些实施方式中,通往电源的连接允许在不使导线253a、253b缠绕或损坏的情况下连续旋转支撑组件200。在一些实施方式中,如图7中所示,第一导线253a及第二导线253b沿着支撑臂220的通道236延伸到中心基部210。在中心基部210中,第一导线253a与中心第一连接器254a连接,而第二导线253b与中心第二连接器254b连接。中心连接器254a、254b可以是连接板258的部分,使得电力或电子信号可穿过中心连接器254a、254b。在所绘示的实施方式中,支撑组件200可在不使导线盘绕或损坏的情况下连续旋转,因为导线终止在中心基部210中。第二连接是在连接板258的相反侧上(在处理腔室的外部)。
在一些实施方式中,导线通过通道236直接连接到处理腔室外部的电源或电部件。在此类的实施方式中,导线具有充足的余宽以允许在不使导线盘绕或损坏的情况下将支撑组件200旋转有限的量。在一些实施方式中,支撑组件200在旋转方向反转之前旋转小于或等于1080°、990°、720°、630°、360°或270°。这允许在不损坏导线的情况下将加热器旋转通过站中的每个。
再次参照图3到图6,加热器230及支撑面231可包括一或多个出气口以提供背侧气流。这可协助从支撑面231移除晶片。如图4及图5中所示,支撑面231包括多个开口237及气体通道238。开口237及/或气体通道238可与真空源或气体源(例如净化气体)中的一或多个流体连通。在此类的实施方式中,可包括空心管以允许气体源与开口237及/或气体通道238流体连通。
在一些实施方式中,加热器230及/或支撑面231被配置为静电吸盘。在此类的实施方式中,电极251a、251b(参照图7)可包括静电吸盘的控制线。
支撑组件200的一些实施方式包括密封平台240。密封平台具有顶面241、底面及厚度。可将密封平台240围绕加热器230而定位,以帮助提供密封或屏障以最小化流到支撑组件200下方的区域的气体。
在一些实施方式中,如图4中所示,密封平台240是环形的且是围绕各个加热器230而定位的。在所绘示的实施方式中,密封平台240定位在加热器230下方,使得密封平台240的顶面241在加热器的支撑面231下方。
密封平台240可具有许多用途。例如,可使用密封平台240通过增加热质量来增加加热器230的温度均匀性。在一些实施方式中,密封平台240与加热器230一体地形成在一起(例如参照图6)。在一些实施方式中,密封平台240是与加热器230分离的。例如,图8中所绘示的实施方式具有密封平台240作为连接到加热器间隙器234的单独部件,使得密封平台240的顶面241在加热器230的支撑面231的水平下方。
在一些实施方式中,密封平台240充当支撑板245的固持器。在一些实施方式中,如图5中所示,支撑板245是围绕所有加热器230的单个部件,所述组件具有多个开口242以允许接触加热器230的支撑面231。开口242可允许加热器230穿过支撑板245。在一些实施方式中,支撑板245是固定的,使得支撑板245与加热器230一起垂直移动及旋转。
在一或多个实施方式中,支撑组件200是鼓形部件;例如,如图20中所示,是具有被配置为支撑多个晶片的顶面246的圆柱形主体。支撑组件200的顶面246具有被调整尺寸为在处理期间支撑一或多个晶片的多个凹口(袋部257)。在一些实施方式中,袋部257具有约等于待处理的晶片的厚度的深度,使得晶片的顶面与圆柱形主体的顶面246实质共面。可将此类支撑组件200的示例设想为图5不具有支撑臂220的变体。图20绘示使用圆柱形主体的支撑组件200的实施方式的横截面图。支撑组件200包括被调整尺寸为支撑晶片以供进行处理的多个袋部257。在所绘示的实施方式中,袋部257的底部是加热器230的支撑面231。可将加热器230的电力连接的路线安排通过支撑柱227及支撑板245。可向加热器230独立供电以控制单独的袋部257及晶片的温度。
参照图9,在一些实施方式中,支撑板245具有顶面246,所述顶面形成与由加热器230的支撑面231所形成的主要平面247实质平行的主要平面248。在一些实施方式中,支撑板245具有顶面246,所述顶面形成在支撑面231的主要平面247上方距离D的主要平面248。在一些实施方式中,距离D实质等于待处理的晶片260的厚度,使得晶片260表面261与支撑板245的顶面246共面,如图6中所示。如用此方式使用的,用语“实质共面”意味着,由晶片260的表面261所形成的主要平面在共面性的±1mm、±0.5mm、±0.4mm、±0.3mm、±0.2mm或±0.1mm内。
参照图9,本公开内容的一些实施方式具有构成用于处理的支撑面的单独部件。此处,密封平台240相对于加热器230而言是单独的部件,且被定位为使得密封平台240的顶面241在加热器230的支撑面231下方。密封平台240的顶面241与加热器230的支撑面231之间的距离足以允许将支撑板245定位在密封平台240上。可控制支撑板245的厚度及/或密封平台240的位置,使得支撑板245的顶面246之间的距离D足以使得晶片260的顶面261(参照图6)与支撑板245的顶面246实质共面。
在一些实施方式中,如图9中所示,支撑板245是由支撑柱227所支撑的。支撑柱227可具有在使用单个部件平台时防止支撑板245的中心下垂的效用。在一些实施方式中,并不存在密封平台240,且支撑柱227是支撑板245的主要支撑件。
支撑板245可具有各种配置以与加热器230及密封平台240的各种配置交互作用。图10A示出依据本公开内容的一或多个实施方式的支撑板245的俯视等角视图。图10B示出图10A的支撑板245沿着线10B-10B’截取的横截面图。在此实施方式中,支撑板245是平坦的部件,其中顶面246及底面249是实质扁平及/或实质共面的。所绘示的实施方式在使用密封平台240来支撑支撑板245(如图9中所示)时可以是特别有用的。
图11A示出依据本公开内容的一或多个实施方式的支撑板245的另一实施方式的仰视等角视图。图11B示出图11A的支撑板245沿着线11B-11B’截取的横截面图。在此实施方式中,开口242中的每个具有在支撑板245的底面249上的围绕开口242的外周边的凸出环270。
图12A示出依据本公开内容的一或多个实施方式的支撑板245的另一实施方式的仰视等角视图。图12B示出图12A的支撑板245沿着线12B-12B’截取的横截面图。在此实施方式中,开口242中的每个在支撑板245的底面249中具有围绕开口242的外周边的凹陷环272。凹陷环272产生凹陷的底面273。此类的实施方式在密封平台240是不存在的或是与加热器230的支撑面231共面时可以是有用的。凹陷的底面273可定位在加热器230的支撑面231上,使得支撑板245的底部部分延伸于加热器230的支撑面231下方而围绕加热器230的侧边。
本公开内容的一些实施方式针对用于多站式处理腔室的顶板300。参照图1及图13,顶板300具有限定盖子的厚度的顶面301及底面302、及一或多个边缘303。顶板300包括延伸通过顶板300厚度的至少一个开口310。开口310被调整尺寸为容许添加可形成处理站110的气体注射器112。
图14绘示依据本公开内容的一或多个实施方式的处理站110的分解图。所绘示的处理站110包括三个主要部件:顶板300(亦称为盖子)、泵送/净化插件330、及气体注射器112。图14中所示的气体注射器112是喷头类型的气体注射器。在一些实施方式中,插件被连接到真空件(排气装置)或与所述真空件流体连通。在一些实施方式中,插件被连接到净化气体源或与所述净化气体源流体连通。
顶板300中的开口310可具有均匀的尺寸或具有不同的尺寸。可将不同尺寸/形状的气体注射器112与泵送/净化插件330一起使用,所述泵送/净化插件被合适地塑形为从开口310到气体注射器112而转变。例如,如所绘示,泵送/净化插件330包括顶部331及底部333且具有侧壁335。在安插到顶板300中的开口310中时,与底部333相邻的凸耳(ledge)334可被定位在形成于开口310中的搁架315上。在一些实施方式中,在开口中不存在搁架315,且泵送/净化插件330的凸缘部分337靠在顶板300的顶部上。在所绘示的实施方式中,凸耳334靠在搁架315上,其中O形环314定位在所述凸耳与所述搁架之间,以帮助形成气密密封。
在一些实施方式中,在顶板300中存在着一或多个净化环309(参照图13)。净化环309可与净化气室(未示出)或净化气体源(未示出)流体连通,以提供正向的净化气体流以防止处理气体从处理腔室泄漏。
一些实施方式的泵送/净化插件330包括气室336,所述气室具有在泵送/净化插件330的底部333中的至少一个开口338。气室336具有一般在泵送/净化插件330的顶部331或侧壁335附近的入口(未示出)。
在一些实施方式中,可将气室336充有净化或惰性气体,所述净化或惰性气体可穿过泵送/净化插件330的底部333中的开口338。通过开口338的气流可帮助产生气体幕类型的屏障以防止处理气体从处理腔室的内部泄漏。
在一些实施方式中,气室336被连接到真空源或与所述真空源流体连通。在此类实施方式中,气体流过泵送/净化插件330的底部333中的开口338到气室336中。可将气体从气室抽到排气装置。可使用此类布置来在使用期间从处理站110抽空气体。
泵送/净化插件330包括开口339,可将气体注射器112安插于所述开口中。所绘示的气体注射器112具有凸缘342,所述凸缘可在泵送/净化插件330的顶部331附近与凸耳332接触。气体注射器112的直径或宽度可以是可契合在泵送/净化插件330的开口339内的任何合适的尺寸。这允许在顶板300中的相同开口310内使用各种类型的气体注射器112。
参照图2及图15,顶板300的一些实施方式包括越过顶板300的中心部位的坝360。可使用连接器367将坝360连接到中心附近的顶板300。可使用连接器367来施加与顶板300的顶部331或底部333正交的力,以补偿顶板300中由压力差引起或由顶板300的重量引起的翘曲。在一些实施方式中,坝360及连接器367能够补偿顶板的中心处的最高或等于约1.5mm的挠曲,所述顶板具有约1.5m的宽度及最高或等于约100mm的厚度。在一些实施方式中,马达365或致动器被连接到连接器367且可造成施加到顶板300的方向力上的改变。可将马达365或致动器支撑在坝360上。所绘示的坝360在两个位置处与顶板300的边缘接触。然而,技术人员将认识到,可存在着一个连接位置或多于两个的连接位置。
在一些实施方式中,如图2中所绘示,支撑组件200包括至少一个马达250。所述至少一个马达250被连接到中心基部210且被配置为围绕旋转轴211旋转支撑组件200。在一些实施方式中,所述至少一个马达被配置为在沿着旋转轴211的方向上移动中心基部210。例如,在图2中,马达255连接到马达250且可沿着旋转轴211移动支撑组件200。换言之,所绘示的马达255可沿着z轴、垂直地、或与由马达250所造成的移动正交地移动支撑组件200。在一些实施方式中,如所绘示,存在着用来围绕旋转轴211旋转支撑组件200的第一马达250及用来沿着旋转轴211(亦即沿着z轴或垂直地)移动支撑组件200的第二马达255。
参照图2及图16,可使用一或多个真空流及/或净化气体流来帮助将一个处理站110a与相邻的处理站110b隔离。净化气体室370可在处理站110的外边界处与净化气体端口371流体连通。在图16中所绘示的实施方式中,净化气体室370及净化气体端口371定位在顶板300中。气室336(示为泵送/净化插件330的部分)与充当泵送/净化气体端口的开口338流体连通。净化气体端口371及净化气体室370(如图13中所示)以及真空端口(开口338)可围绕处理站110的周边延伸以形成气体幕。气体幕可帮助最小化或消除处理气体泄漏到处理腔室的内部容积109中的情况。
在图16中所绘示的实施方式中,可使用差动泵送来帮助隔离处理站110。泵送/净化插件330被示为与加热器230及具有O形环329的支撑板245接触。O形环329定位在开口338与气室336流体连通的任一侧上。一个O形环329定位在开口338的周线内,而另一个O形环329定位在开口338的周线外。O形环329及具有开口338的泵送/净化气室336的组合可提供充足的差异压力以维持处理站110相对于处理腔室100的内部容积109的气密密封。在一些实施方式中,存在着定位在开口338的周线之内或之外的一个O形环329。在一些实施方式中,存在着两个O形环329,一个O形环定位在与气室370流体连通的净化气体端口371的周线之内而另一个O形环定位在所述周线之外。在一些实施方式中,存在着定位在与气室370流体连通的净化气体端口371的周线之内或之外的一个O形环329。
可将处理站110的边界视为一个区域,在所述区域内,处理气体被泵送/净化插件330隔离。在一些实施方式中,处理站110的外边界是与泵送/净化插件330的气室336流体连通的开口338的最外缘381,如图14及图16中所示。
处理站110的数量可随着加热器230及支撑臂220的数量而变化。在一些实施方式中,存在着相等数量的加热器230、支撑臂220及处理站110。在一些实施方式中,加热器230、支撑臂220及处理站110被配置为使得可同时将加热器230的支撑面231中的每个定位为与不同处理站110的前面214相邻。换言之,加热器中的每个同时定位在处理站中。
可变化围绕处理腔室100的处理站110的间隔。在一些实施方式中,处理站110靠近在一起到足以最小化站之间的空间,使得可在处理站110之间快速移动基板,同时在站中的一个外部花费最小量的时间及传输距离。在一些实施方式中,处理站110被定位得靠近到足以使得在加热器230的支撑面231上运输的晶片总是在处理站110中的一个内。
图17示出依据本公开内容的一或多个实施方式的处理平台400。图17中所示的实施方式仅表示一个可能的配置,且不应被视为本公开内容的范围的限制。例如,在一些实施方式中,处理平台400具有与所绘示的实施方式不同数量的以下项目中的一或多个:处理腔室100、缓冲站420及/或机器人430配置。
示例性处理平台400包括了中央转运站410,所述中央转运站具有多个侧边411、412、413、414。所示的转运站410具有第一侧411、第二侧412、第三侧431及第四侧414。虽然示出了四个侧,但本领域中的技术人员将了解到,取决于例如处理平台400的整体配置,转运站410可以存在任何合适数量的侧边。在一些实施方式中,存在着具有三个侧边、四个侧边、五个侧边、六个侧边、七个侧边或八个侧边的转运站410。
转运站410具有定位在转运站410中的机器人430。机器人430可以是能够在处理期间移动晶片的任何合适的机器人。在一些实施方式中,机器人430具有第一臂431及第二臂432。第一臂431及第二臂432可相对于另一臂独立地移动。第一臂431及第二臂432可在x-y平面上及/或沿着z轴移动。在一些实施方式中,机器人430包括第三臂(未示出)或第四臂(未示出)。所述臂中的每个可相对于其他臂独立地移动。
所绘示的实施方式包括了六个处理腔室100,其中中央转运站410的第二侧412、第三侧413及第四侧414中的每个连接了两个处理腔室。可将处理腔室100中的每个配置为执行不同的工艺。
处理平台400亦可包括连接到中央转运站410的第一侧411的一或多个缓冲站420。缓冲站420可执行相同或不同的功能。例如,缓冲站可固持处理过且被传回原始盒子的一盒晶片,或缓冲站中的一个可固持未处理的晶片,所述未处理的晶片在处理之后被移动到其他缓冲站。在一些实施方式中,缓冲站中的一或多个被配置为在处理之前及/或之后预处理、预热或清洁晶片。
处理平台400亦可包括中央转运站410与处理腔室100中的任一个之间的一或多个狭缝阀418。狭缝阀418可开放及关闭以将处理腔室100内的内部容积与中央转运站410内的环境隔离。例如,若处理腔室将在处理期间产生等离子体,则针对所述处理腔室关闭狭缝阀可以有助于防止杂散等离子体损伤转运站中的机器人。
可将处理平台400连接到工厂接口450以允许将晶片或晶片盒装载到处理平台400中。可使用工厂接口450内的机器人455来将晶片或盒子移进或移出缓冲站。可通过中央转运站410中的机器人430在处理平台400内移动晶片或盒子。在一些实施方式中,工厂接口450是另一群集工具(亦即另一多腔室处理平台)的转运站。
可提供控制器495且将所述控制器耦接到处理平台400的各种部件以控制所述组件的操作。控制器495可以是控制整个处理平台400的单个控制器,或控制处理平台400的单独部分的多个控制器。例如,处理平台400可包括用于单独的处理腔室100、中央转运站410、工厂接口450及机器人430中的每个的单独控制器。
在一些实施方式中,控制器495包括中央处理单元(CPU)496、存储器497及支持电路498。控制器495可直接控制处理平台400,或经由与特定的处理腔室及/或支持系统组件相关联的计算机(或控制器)来控制所述处理平台。
控制器495可以是任何形式的一般用途计算机处理器中的一种,可将所述处理器用在工业环境中以供控制各种腔室及子处理器。控制器495的存储器497或计算机可读取媒体可以是可随时取得的存储器(例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、光学储存媒体(例如光盘或数字激光视盘)、闪存驱动器、或任何其他形式的数字储存器(本端或远程))中的一或多个。存储器497可留存指令集,所述指令集可由处理器(CPU 496)操作以控制处理平台400的参数及部件。
支持电路498被耦接到CPU 496以供用常规方式支持处理器。这些电路包括高速缓存、电源、时钟电路、输入/输出电路系统、及子系统和类似物。可将一或多种工艺储存在存储器498中作为软件例程,所述软件例程在被处理器执行或调用时,使得处理器用本文中所述的方式控制处理平台400或单独的处理腔室的操作。亦可由第二CPU(未示出)储存及/或执行软件例程,所述第二CPU定位在由CPU 496所控制的硬件的远程。
亦可用硬件执行本公开内容的工艺及方法中的一些工艺或所有工艺。如此,可用软件实施工艺且用硬件方式使用计算机系统来将所述工艺执行为例如特定应用集成电路或其他类型的硬件实施方式、或执行为软件及硬件的组合。软件例程在由处理器执行时,将一般用途计算机变换成控制腔室操作使得工艺被执行的特定用途计算机(控制器)。
在一些实施方式中,控制器495具有一或多种配置以执行单独工艺或子工艺以执行方法。可将控制器495连接到中介部件及配置为操作所述中介部件,以执行方法的功能。例如,可将控制器495连接到气阀、致动器、马达、狭缝阀、真空控制器或其他部件中的一或多个及配置为控制所述部件。
图18A到图18I绘示具有不同处理站110的处理腔室100的各种配置。写有文字的圆形表示不同的处理站110及处理条件。例如,在图18A中,存在着四个处理站110,各个处理站具有不同的文字。这表示四个处理站110,其中各个站具有与其他站不同的条件。如由箭头所指示,可通过从站A到D移动具有晶片的加热器使工艺发生。在暴露于D之后,循环可继续或反转。
在图18B中,可同时处理二或四个晶片,其中晶片在加热器上在A及B位置之间来回移动。两个晶片可开始于A位置,而两个晶片开始于B位置。独立的处理站110允许在第一循环期间关掉站中的两个,使得各个晶片从A暴露开始。可顺时针或逆时针地连续旋转加热器及晶片。在一些实施方式中,加热器及晶片在第一方向上旋转90°(例如A到B)且接着在第二方向上旋转90°(例如B回到A)。可重复此旋转以使得在不旋转支撑组件多于约90°的情况下处理四个晶片/加热器。
图18B中所绘示的实施方式在四个处理站110中处理两个晶片时亦可以是有用的。这在工艺中的一个处于非常不同的压力、或A及B的工艺时间非常不同时可以是特别有用的。
在图18C中,可在ABC工艺中在单个处理腔室100中处理三个晶片。可将一个站关掉或执行不同的功能(例如预热)。
在图18D中,可在AB-处理(AB-Treat)工艺中处理两个晶片。例如,可仅将晶片安置在B加热器上。顺时针直角转动将把一个晶片安置在A站中及把第二晶片安置在T站中。回向转动将把两个晶片移动到B站,而另一次的逆时针直角转动将把第二晶片安置在A站中及把第一晶片安置在B站中。
在图18E中,可同时处理最高四个晶片。例如,若A站被配置为执行CVD或ALD工艺,则可同时处理四个晶片。
图18F到图18I示出用于具有三个处理站110的处理腔室100的类似类型的配置。简而言之,在图18F中,可使单个晶片(或多于一个晶片)经受ABC工艺。在图18G中,可通过将一个晶片安置在A位置中及将另一个晶片安置在B位置中的一个中来使两个晶片经受AB工艺。可接着将晶片来回移动,使得在B位置开始的晶片在第一动时移动到A位置及接着回到相同的B位置。在图18H中,可使晶片经受AB-处理工艺。在图18I中,可同时处理三个晶片。
图19A及图19B绘示本公开内容的另一实施方式。图19A示出加热器230及支撑板245的部分视图,所述加热器230及支撑板245已被旋转到处理站110下方的位置,使得晶片101与气体注射器112相邻。支撑板245上或加热器230的外部分上的O形环329处于松弛状态。
图19B示出支撑板245及加热器230朝向处理站110移动使得加热器230的支撑面231与处理站110中的气体注射器112的前面114接触或几乎接触之后的支撑板245及加热器230。在此位置下,O形环329被压缩而围绕支撑板245的外缘或加热器230的外部分形成密封。这允许将晶片101移动得尽可能靠近气体注射器112,以最小化反应区域219的容积,使得可快速净化反应区域219。
可能流出反应区域219的气体被抽过开口338到气室336中及到排气装置或前级管道(未示出)。可通过净化气体室370及净化气体端口371来产生开口338外部的净化气体幕。此外,加热器230与支撑板245之间的间隙137可帮助进一步遮蔽掉反应区域219及防止反应气体流到处理腔室100的内部容积109中。
参照回到图17,一些实施方式的控制器495具有选自以下配置的一或多种配置:用来在多个处理腔室之间移动机器人上的基板的配置;用来装载基板及/或从系统卸除基板的配置;用来开启/关闭狭缝阀的配置;用来向加热器中的一或多个提供电力的配置;用来测量加热器的温度的配置;用来测量加热器上的晶片的温度的配置;用来装载晶片或从加热器卸除晶片的配置;用来在温度测量与加热器电力控制之间提供反馈的配置;用来围绕旋转轴旋转支撑组件的配置;用来沿着旋转轴(亦即沿着z轴)移动支撑组件的配置;用来设定或改变支撑组件的转速的配置;用来向气体注射器提供气流的配置;用来向一或多个电极提供电力以在气体注射器中产生等离子体的配置;用来控制等离子体源的电源的配置;用来控制等离子体源电源的频率及/或功率的配置;及/或用来提供热退火处理站的控制的配置。
此说明书的任何部分对于“一个实施方式”、“某些实施方式”、“一或多个实施方式”或“一实施方式”的指称意味着,连同实施方式描述的特定特征、结构、材料或特性是被包括在本公开内容的至少一个实施方式中的。因此,此说明书任何部分的各种地方中的例如“在一或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”的短语的出现不一定是指本公开内容的相同的实施方式。并且,可在一或多个实施方式中以任何合适的方式结合特定的特征、结构、材料或特性。
虽然已参照了详细的实施方式来描述本文中的公开内容,但要了解到这些实施方式仅说明本公开内容的原理及应用。本领域中的技术人员将理解到,可在不脱离本公开内容的精神及范围的情况下对本公开内容的方法及装置作出各种更改及变化。因此,所要的是,本公开内容包括随附权利要求及它们等效物的范围内的更改及变化。

Claims (15)

1.一种支撑组件,包括:
可旋转中心基部,限定旋转轴;
至少两个支撑臂,从所述中心基部延伸,所述支撑臂中的每个具有与所述中心基部接触的内端、及外端;及
加热器,定位在所述支撑臂中的每个的所述外端上,所述加热器具有支撑面。
2.如权利要求1所述的支撑组件,其中所述支撑臂与所述旋转轴正交地延伸。
3.如权利要求1所述的支撑组件,其中存在着三个支撑臂及三个加热器。
4.如权利要求1所述的支撑组件,其中存在着四个支撑臂及四个加热器。
5.如权利要求1所述的支撑组件,其中所述加热器支撑面是实质共面的。
6.如权利要求1所述的支撑组件,其中所述加热器的中心定位在距所述旋转轴的距离处,使得在旋转所述中心基部之后,所述加热器就在圆形路径中移动。
7.如权利要求1所述的支撑组件,所述支撑组件更包括:至少一个马达,连接到所述中心基部,所述至少一个马达被配置为围绕所述旋转轴旋转所述支撑组件。
8.如权利要求1所述的支撑组件,所述支撑组件更包括:至少一个密封平台,围绕所述加热器而定位,所述密封平台具有顶面,所述顶面形成与由所述加热器的所述支撑面所形成的主要平面实质平行的主要平面。
9.如权利要求8所述的支撑组件,其中每个加热器具有密封平台,所述密封平台围绕所述加热器而定位,所述密封平台形成环形顶面。
10.如权利要求8所述的支撑组件,其中存在着具有开口的一个密封平台,所述开口用于让所述加热器中的每个穿过所述密封平台。
11.一种处理腔室,包括:
外壳,具有限定内部容积的壁、底部及顶部;
所述外壳的所述内部容积中的多个处理站,所述处理站是围绕旋转轴用圆形布置定位的,每个处理站包括具有前面的气体注射器,所述气体注射器中的每个的所述前面是实质共面的;
所述外壳的所述内部容积中的支撑组件,所述支撑组件定位在所述多个处理站下方,所述支撑组件包括可旋转中心基部,所述可旋转中心基部具有从所述中心基部延伸的多个支撑臂,各个支撑臂具有与所述中心基部接触的内端、及外端,加热器定位在所述支撑臂中的每个的所述外端上,所述加热器具有支撑面。
12.如权利要求11所述的处理腔室,其中存在着相等数量的加热器、支撑臂及处理站,所述相等数量的加热器、支撑臂及处理站被配置为使得可同时将所述加热器的所述支撑面中的每个定位为与不同的处理站的所述前面相邻。
13.如权利要求11所述的处理腔室,其中所述加热器支撑面是实质共面的。
14.如权利要求11所述的处理腔室,所述处理腔室更包括:至少一个马达,连接到所述中心基部,所述至少一个马达被配置为围绕所述旋转轴旋转所述支撑组件。
15.如权利要求11所述的处理腔室,其中每个加热器更包括:密封平台,围绕所述加热器而定位,所述密封平台具有顶面,所述顶面形成与由所述加热器的所述支撑面所形成的主要平面实质平行的主要平面。
CN201880066232.3A 2017-10-27 2018-10-26 具有空间分离的单个晶片处理环境 Pending CN111212931A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762578365P 2017-10-27 2017-10-27
US62/578,365 2017-10-27
PCT/US2018/057685 WO2019084386A1 (en) 2017-10-27 2018-10-26 SINGLE WAFER PROCESSING ENVIRONMENTS WITH SPACE SEPARATION

Publications (1)

Publication Number Publication Date
CN111212931A true CN111212931A (zh) 2020-05-29

Family

ID=66244211

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880066232.3A Pending CN111212931A (zh) 2017-10-27 2018-10-26 具有空间分离的单个晶片处理环境

Country Status (6)

Country Link
US (2) US11894257B2 (zh)
JP (2) JP7337786B2 (zh)
KR (3) KR102383687B1 (zh)
CN (1) CN111212931A (zh)
TW (3) TWI802439B (zh)
WO (1) WO2019084386A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112331598A (zh) * 2020-10-27 2021-02-05 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 晶圆承载装置和晶圆分离设备

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6674800B2 (ja) * 2016-03-07 2020-04-01 日本特殊陶業株式会社 基板支持装置
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
TW202117067A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於改善均勻性的抖動或動態偏移
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
TW202125689A (zh) * 2019-10-11 2021-07-01 美商應用材料股份有限公司 用於空間多晶圓處理工具的基座加熱器
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220106683A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
US20220186367A1 (en) * 2020-12-13 2022-06-16 Applied Materials, Inc. Deposition Apparatus and Methods Using Staggered Pumping Locations
CN113314447B (zh) * 2021-02-01 2024-04-02 中科晶源微电子技术(北京)有限公司 晶片转移装置、腔体装置、晶片处理设备
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
US11915918B2 (en) * 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean

Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
EP0917596A1 (en) * 1997-04-10 1999-05-26 Uniphase Opto Holdings, Inc. Method of manufacturing a semiconductor device and a device for applying such a method
US6105592A (en) * 1997-07-21 2000-08-22 Semitool, Inc. Gas intake assembly for a wafer processing system
US20060201427A1 (en) * 2000-09-01 2006-09-14 Holger Jurgensen CVD coating device
CN101401110A (zh) * 2006-01-25 2009-04-01 奥迪安有限公司 用于生产证件嵌芯的设备和工艺
CN101471278A (zh) * 2007-12-26 2009-07-01 新光电气工业株式会社 静电夹盘和基板温度调节固定装置
KR20090114132A (ko) * 2008-04-29 2009-11-03 엘지이노텍 주식회사 반도체 제조장치
CN101665919A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置、成膜方法
CN101665925A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、成膜方法
JP2010084192A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 成膜装置
US20110017127A1 (en) * 2007-08-17 2011-01-27 Epispeed Sa Apparatus and method for producing epitaxial layers
CN102719809A (zh) * 2011-06-16 2012-10-10 绿种子科技(潍坊)有限公司 薄膜沉积系统
KR20120131430A (ko) * 2011-05-25 2012-12-05 세메스 주식회사 기판 처리 장치
CN103377978A (zh) * 2012-04-23 2013-10-30 杰荷拉公司 基板处理装置用托盘
CN104752300A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘及反应腔室
US20150194298A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film Deposition Using Spatial Atomic Layer Deposition Or Pulsed Chemical Vapor Deposition
US20150361553A1 (en) * 2013-04-07 2015-12-17 Shigemi Murakawa Rotating semi-batch ald device
US20160068953A1 (en) * 2014-09-10 2016-03-10 Applied Materials, Inc. Gas Separation Control in Spatial Atomic Layer Deposition
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US20160217999A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Spatially Separated Injector Chamber
CN106133874A (zh) * 2014-04-11 2016-11-16 应用材料公司 用于快速冷却基板的方法与装置
US20170032956A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods for thin film deposition

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0825151B2 (ja) 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6764658B2 (en) 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20050084610A1 (en) 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100553685B1 (ko) 2003-05-14 2006-02-24 삼성전자주식회사 반도체 기판을 컨테이너로부터 언로딩하는 이송장치 및이송방법
WO2005015613A2 (en) 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
WO2006088463A1 (en) 2005-02-17 2006-08-24 Selitser Simon I Atmospheric pressure molecular layer cvd
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US20090016853A1 (en) 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
WO2009017322A1 (en) 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer
US9287096B2 (en) 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
KR100978569B1 (ko) 2008-06-02 2010-08-27 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP2010034505A (ja) 2008-06-30 2010-02-12 Canon Anelva Corp 積層ロードロックチャンバおよびそれを備えた基板処理装置
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
US8791614B2 (en) * 2008-12-19 2014-07-29 Lam Research Ag Device for treating disc-like article and method for operating same
JP4707749B2 (ja) 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8344559B2 (en) 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20110120375A1 (en) 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
JP2011187695A (ja) 2010-03-09 2011-09-22 Taiyo Nippon Sanso Corp 気相成長方法
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP5572515B2 (ja) 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
KR102223624B1 (ko) 2010-11-10 2021-03-05 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
JP5727888B2 (ja) 2011-02-28 2015-06-03 株式会社吉野工業所 吐出容器
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
KR20130106906A (ko) 2012-03-21 2013-10-01 주식회사 윈텔 기판 처리 장치 및 기판 처리 방법
US9091397B2 (en) 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
JP6336467B2 (ja) 2012-11-30 2018-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 不等長の前腕部を備えた多軸ロボット装置、電子デバイス製造システム、及び、電子デバイス製造において基板を搬送するための方法
JP6190645B2 (ja) 2013-07-09 2017-08-30 東京エレクトロン株式会社 基板搬送方法
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
US10648079B2 (en) * 2014-12-19 2020-05-12 Lam Research Corporation Reducing backside deposition at wafer edge
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
JP6802191B2 (ja) 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サセプタの位置付け及び回転装置、並びに使用の方法
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
KR102569764B1 (ko) 2015-07-28 2023-08-23 에이에스엠 아이피 홀딩 비.브이. 박막 증착을 위한 방법 및 장치
JP5938506B1 (ja) 2015-09-17 2016-06-22 株式会社日立国際電気 基板処理システム、半導体装置の製造方法、プログラム及び記録媒体
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
JP6740881B2 (ja) 2016-02-02 2020-08-19 東京エレクトロン株式会社 基板処理装置
US20170314133A1 (en) 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc Plasma reactor having divided electrodes
KR20190003972A (ko) 2016-04-29 2019-01-10 레트로-세미 테크놀로지스, 엘엘씨 분할 전극을 가지는 플라즈마 반응기

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5951772A (en) * 1993-08-25 1999-09-14 Tokyo Electron Limited Vacuum processing apparatus
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
EP0917596A1 (en) * 1997-04-10 1999-05-26 Uniphase Opto Holdings, Inc. Method of manufacturing a semiconductor device and a device for applying such a method
US6105592A (en) * 1997-07-21 2000-08-22 Semitool, Inc. Gas intake assembly for a wafer processing system
US20060201427A1 (en) * 2000-09-01 2006-09-14 Holger Jurgensen CVD coating device
US20090301653A1 (en) * 2006-01-25 2009-12-10 On Track Innovations Ltd. Apparatus and process for producing document core inlays
CN101401110A (zh) * 2006-01-25 2009-04-01 奥迪安有限公司 用于生产证件嵌芯的设备和工艺
US20110017127A1 (en) * 2007-08-17 2011-01-27 Epispeed Sa Apparatus and method for producing epitaxial layers
CN101471278A (zh) * 2007-12-26 2009-07-01 新光电气工业株式会社 静电夹盘和基板温度调节固定装置
KR20090114132A (ko) * 2008-04-29 2009-11-03 엘지이노텍 주식회사 반도체 제조장치
CN101665919A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置、成膜方法
CN101665925A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、成膜方法
JP2010084192A (ja) * 2008-09-30 2010-04-15 Tokyo Electron Ltd 成膜装置
KR20120131430A (ko) * 2011-05-25 2012-12-05 세메스 주식회사 기판 처리 장치
CN102719809A (zh) * 2011-06-16 2012-10-10 绿种子科技(潍坊)有限公司 薄膜沉积系统
CN103377978A (zh) * 2012-04-23 2013-10-30 杰荷拉公司 基板处理装置用托盘
US20150361553A1 (en) * 2013-04-07 2015-12-17 Shigemi Murakawa Rotating semi-batch ald device
CN104752300A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘及反应腔室
US20150194298A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film Deposition Using Spatial Atomic Layer Deposition Or Pulsed Chemical Vapor Deposition
CN106133874A (zh) * 2014-04-11 2016-11-16 应用材料公司 用于快速冷却基板的方法与装置
US20160068953A1 (en) * 2014-09-10 2016-03-10 Applied Materials, Inc. Gas Separation Control in Spatial Atomic Layer Deposition
WO2016040448A1 (en) * 2014-09-10 2016-03-17 Applied Materials, Inc. Gas separation control in spatial atomic layer deposition
US20160215392A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Injector For Spatially Separated Atomic Layer Deposition Chamber
US20160217999A1 (en) * 2015-01-22 2016-07-28 Applied Materials, Inc. Atomic Layer Deposition Of Films Using Spatially Separated Injector Chamber
CN105821393A (zh) * 2015-01-22 2016-08-03 应用材料公司 使用空间上分开的注入器腔室进行的对膜的原子层沉积
CN107208266A (zh) * 2015-01-22 2017-09-26 应用材料公司 用于空间上分离的原子层沉积腔室的改进的注射器
US20170032956A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods for thin film deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112331598A (zh) * 2020-10-27 2021-02-05 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 晶圆承载装置和晶圆分离设备
CN112331598B (zh) * 2020-10-27 2023-06-20 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 晶圆承载装置和晶圆分离设备

Also Published As

Publication number Publication date
KR102383687B1 (ko) 2022-04-08
JP7451601B2 (ja) 2024-03-18
JP7337786B2 (ja) 2023-09-04
TW202347596A (zh) 2023-12-01
WO2019084386A1 (en) 2019-05-02
KR20230100751A (ko) 2023-07-05
KR20220046004A (ko) 2022-04-13
JP2021501465A (ja) 2021-01-14
KR20200062360A (ko) 2020-06-03
US20240096688A1 (en) 2024-03-21
TWI802439B (zh) 2023-05-11
TWI768849B (zh) 2022-06-21
US11894257B2 (en) 2024-02-06
TW202243101A (zh) 2022-11-01
JP2022133280A (ja) 2022-09-13
TWI729319B (zh) 2021-06-01
KR102614522B1 (ko) 2023-12-15
TW201923953A (zh) 2019-06-16
TW202135223A (zh) 2021-09-16
US20190131167A1 (en) 2019-05-02

Similar Documents

Publication Publication Date Title
KR102383687B1 (ko) 공간 분리를 갖는 단일 웨이퍼 프로세싱 환경들
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
US11131022B2 (en) Atomic layer self aligned substrate processing and integrated toolset
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
JP2023113690A (ja) 空間堆積ツールを操作する方法
TWI838222B (zh) 具有空間分離的單個晶圓處理環境
CN112930582A (zh) 具有改善温度均匀性的空间晶片处理
JP7249407B2 (ja) 補完的なパターンのステーション設計

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination