TWI768849B - 具有空間分離的單個晶圓處理環境 - Google Patents

具有空間分離的單個晶圓處理環境 Download PDF

Info

Publication number
TWI768849B
TWI768849B TW110115139A TW110115139A TWI768849B TW I768849 B TWI768849 B TW I768849B TW 110115139 A TW110115139 A TW 110115139A TW 110115139 A TW110115139 A TW 110115139A TW I768849 B TWI768849 B TW I768849B
Authority
TW
Taiwan
Prior art keywords
processing
support
processing chamber
heater
heaters
Prior art date
Application number
TW110115139A
Other languages
English (en)
Other versions
TW202135223A (zh
Inventor
麥可 萊斯
喬瑟夫 阿布考恩
桑傑夫 巴魯札
曼德亞 史利倫
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202135223A publication Critical patent/TW202135223A/zh
Application granted granted Critical
Publication of TWI768849B publication Critical patent/TWI768849B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

描述了用來處理一或更多個晶圓的裝置及方法。圍繞旋轉軸用圓形配置佈置了複數個處理站。具有界定旋轉軸的可旋轉中心基部、從該中心基部延伸的至少兩個支撐臂、及該等支撐臂中的各者上的加熱器的支撐組件被定位為與該等處理站相鄰,使得可在各種處理站之間移動該等加熱器以執行一或更多種製程條件。

Description

具有空間分離的單個晶圓處理環境
本揭示內容大致與用於沉積薄膜的裝置相關。詳細而言,本揭示內容與具有複數個可動加熱晶圓支撐物及空間分離的處理站的裝置相關。
目前的原子層沉積(ALD)製程具有許多潛在的問題及困難。許多ALD化學物質(例如前驅物及反應物)是「不相容的」,這意味著該等化學物質不能被混合在一起。若不相容的化學物質混合,則化學氣相沉積(CVD)製程可能發生而不是ALD製程。CVD製程一般具有較ALD製程為低的厚度控制,及/或可能造成氣相粒子的產生,氣相粒子的產生可能造成生成設備中的缺陷。對於一次將單種反應氣體流到處理腔室中的傳統時域ALD製程而言,存在著長的清洗/泵出時間,使得化學物質不在氣相下混合。空間性ALD腔室可較時域ALD腔室所能夠泵送/清洗的速度為快速地從一個環境向第二環境移動一或更多個晶圓,而造成較高的產量。
半導體工業需要可在較低的溫度下(例如低於350℃)沉積的高品質的膜。為了在低於會用唯熱製程來沉積膜的溫度的溫度下沉積高品質的膜,需要替代的能量源。可使用電漿解決方案來向ALD膜提供離子及自由基形式的額外能量。挑戰是在垂直側壁ALD膜上得到充足的能量。一般是在與晶圓表面正交的方向上將離子加速通過晶圓表面上方的鞘。因此,離子向水平ALD膜表面提供了能量,但向垂直面提供了不足量的能量,因為離子與垂直面平行地移動。
一些製程腔室併入了電容耦合電漿(CCP)。CCP是在頂電極與晶圓之間產生的,這常稱為CCP平行板電漿。CCP平行板電漿跨兩個鞘產生了非常高的離子能量,且因此在垂直側壁面上表現得非常不良。藉由在空間上將晶圓移動到針對用較低的能量及對於晶圓表面用較廣的角度分佈產生高自由基通量及離子通量而最佳化的環境,可達成較佳的垂直ALD膜性質。此類電漿源包括微波、電感耦合電漿(ICP)、或具有第三個電極的較高頻率CCP解決方案(亦即電漿是在晶圓上方的兩個電極之間產生的且不將晶圓用作主要電極)。
目前的空間性ALD處理腔室用恆速在加熱的圓形臺板上旋轉複數個晶圓,這將晶圓從一個處理環境移動到相鄰的環境。不同的處理環境產生了不相容的氣體的分離。然而,目前的空間性ALD處理腔室並不允許針對電漿暴露最佳化電漿環境,而造成不均勻性、電漿損傷及/或處理彈性問題。
例如,製程氣體跨晶圓表面而流動。因為晶圓圍繞偏移軸而旋轉,晶圓的前緣及後緣具有不同的流線。此外,在晶圓的內徑邊緣與外徑邊緣之間亦存在著由在內緣處的較慢速度及外緣處的較快速度所造成的流量差。這些流量不均勻性可被最佳化但不能被消除。可能在將晶圓暴露於不均勻的電漿時產生電漿損傷。這些空間性處理腔室的恆速旋轉需要將晶圓移進及移出電漿,且因此晶圓中的一些部分暴露於電漿同時其他區域是在電漿之外的。並且,由於恆定的轉速,可能難以改變空間性處理腔室中的暴露時間。舉個例子,一種製程使用0.5秒暴露於氣體A,之後是1.5秒的電漿處理。因為工具在恆定的轉速下運行,進行此步驟的唯一的方式是使得電漿環境比氣體A分配環境大3倍。若要執行氣體A及電漿時間是相等的另一製程,則會需要改變硬體。目前的空間性ALD腔室僅可將轉速慢下來或加速,但不能在不改變腔室硬體以用於較小或較大的區域的情況下調整步驟之間的時間差。因此,在本領域中存在著改良的沉積裝置及方法的需要。
本揭示案的一或更多個實施例針對包括可旋轉中心基部、至少兩個支撐臂及加熱器的支撐組件。該可旋轉中心基部界定旋轉軸。該等支撐臂中的各者從該中心基部延伸,且具有與該中心基部接觸的一內端、及一外端。具有支撐面的加熱器定位在該等支撐臂中的各者的該外端上。
本揭示內容的額外實施例針對包括外殼、複數個處理站及支撐組件的處理腔室。該外殼具有界定內部容積的壁、底部及頂部。該複數個處理站在該外殼的該內部容積中。該等處理站是圍繞旋轉軸用圓形佈置定位的。該等處理站中的各者包括具有前面的氣體注射器。該等氣體注射器中的各者的該等前面是實質共面的。該支撐組件在該外殼的定位在該複數個處理站下方的該內部容積中。該支撐組件包括可旋轉中心基部,該可旋轉中心基部具有從該中心基部延伸的複數個支撐臂。各個支撐臂具有與該中心基部接觸的內端、及外端。具有支撐面的加熱器定位在該等支撐臂中的各者的該外端上。
在描述本揭示內容的若干示例性實施例之前,要了解,本揭示內容不限於以下說明中所闡述的構造或製程步驟的細節。本揭示內容能夠包括其他實施例及用各種方式實行或實現。
如本文中所使用的「基板」指的是任何基板或形成於基板上的材料表面,膜處理在製造製程期間執行於該基板或材料表面上。例如,取決於應用,可於上面執行處理的基板表面包括例如為矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻碳的氧化矽、非晶矽、經摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料及例如為金屬、氮化金屬、金屬合金及其他導電材料的任何其他材料。基板包括(但不限於)半導體晶圓。可將基板暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理以外,在本揭示內容中,亦可如下文更詳細揭露地將所揭露的任何膜處理步驟執行於形成在基板上的下層(under-layer)上,且用語「基板表面」在上下文指示時要包括此類下層。因此,例如,若已將膜/層或部分的膜/層沉積到基板表面上,則新沉積的膜/層的受暴面變成基板表面。
如此說明書及隨附請求項中所使用的,用語「前驅物」、「反應物」、「反應氣體」等等被交替使用以指稱可與基板表面或與形成於基板表面上的膜反應的任何氣態物種。
本揭示內容的一或更多個實施例使用二或更多個處理環境之間的空間分離。一些實施例有利地提供了用來維持不相容的氣體的分離的裝置及方法。一些實施例有利地提供了包括可最佳化的電漿處理的裝置及方法。一些實施例有利地提供了允許差異化熱分配環境、差異化電漿處理環境及其他環境的裝置及方法。
本揭示內容的一或更多個實施例針對具有四個空間分離的處理環境(亦稱為處理站)的處理腔室。一些實施例具有多於四個的空間分離的處理環境,而一些實施例具有少於四個的空間分離的處理環境。可將處理環境安裝為與在水平面上移動的晶圓共面。製程環境是用圓形佈置安置的。在上面安裝有具有一到四個(或更多個)個別的晶圓加熱器的可旋轉結構在具有與製程環境類似的直徑的圓形路徑中移動晶圓。各個加熱器可以是溫度受控的且可具有一或多個同心區。針對晶圓裝載,可降低可旋轉結構,使得真空機器人可撿取完成的晶圓且將未處理的晶圓安置在定位在各個晶圓加熱器(處於較低的Z位置中)上方的升降銷上。操作時,各個晶圓可以處於獨立的環境下,直到製程完成為止,接著可旋轉結構可旋轉以將加熱器上的晶圓移動到下個環境(對於四個站來說是90°旋轉,若是三個站的話則是120°旋轉)以供進行處理。
本揭示內容的一些實施例有利地將ALD的空間分離提供給不相容的氣體。一些實施例相較於傳統的時域或空間性製程腔室而言允許較高的產量及工具資源利用。各個製程環境可以在不同的壓力下操作。加熱器旋轉具有Z方向的運動,所以可將各個加熱器密封到腔室中。
一些實施例有利地提供了可包括微波、ICP、平行板CCP或3電極CCP中的一或更多者的電漿環境。可將整個晶圓浸入在電漿中,而消除了來自跨晶圓的不均勻電漿的電漿損傷。
在一些實施例中,可使用淋噴頭與晶圓之間的小型間隙來增加分配氣體的利用及循環時間速度。精確的淋噴頭溫度控制及高操作範圍(最高230 ℃)。雖然不被現有理論束縛,但相信,淋噴頭溫度與晶圓溫度越接近,晶圓溫度均勻性就越佳。
淋噴頭可包括小型氣孔(<200 µm)、大量的氣孔(好幾千到大於1000萬)及使用小的分佈容積在淋噴頭內部遞迴地饋送氣體分佈以增加速度。可藉由雷射鑽孔及乾式蝕刻來產生小尺寸及大量的氣孔。在晶圓靠近淋噴頭時,存在著朝向晶圓通過垂直孔洞的氣體經歷的渦流。一些實施例允許較慢速的氣體通過使用緊密地隔在一起的大量孔洞的淋噴頭,而對晶圓表面達成均勻的分佈。
一些實施例針對使用單個工具上的複數個空間分離的處理站(腔室)的整合處理平台。處理平台可具有可執行不同製程的各種腔室。
本揭示內容的一些實施例針對用來將附接到晶圓加熱器的晶圓從一個環境移動到另一環境的裝置及方法。可藉由將晶圓靜電夾緊(或夾持)到加熱器來允許快速移動。晶圓的移動可呈現直線或圓形的運動。
本揭示內容的一些實施例針對處理一或更多個基板的方法。示例包括(但不限於):將一個加熱器上的一個晶圓運行到空間分離的複數個不同的連序環境;將兩個晶圓加熱器上的兩個晶圓運行到三個環境(兩個環境是相同的且一個不同的環境在該兩個類似環境之間);晶圓一遇見環境A接著是B且重複,而晶圓二遇見B接著是A且重複;一個環境保持閒置(沒有晶圓);在兩個第一環境及兩個第二環境中運行兩個晶圓,其中兩個晶圓同時遇見相同的環境(亦即兩個晶圓在A中接著都行進到B);兩個A及兩個B環境的情況下的四個晶圓;及兩個晶圓在A環境中處理,同時另兩個晶圓在B環境中處理。在一些實施例中,晶圓重複地暴露於環境A及環境B,且接著暴露於定位在相同腔室中的第三環境。
在一些實施例中,晶圓通過複數個腔室以供進行處理,其中腔室中的至少一者用相同腔室內的複數個空間分離的環境進行連序處理。
一些實施例針對具有相同腔室內的空間分離的處理環境的裝置,其中該等環境處於顯著不同的壓力下(例如一個環境<100mT而另一環境>3T)。在一些實施例中,加熱器旋轉機器人在z軸上移動以將各個晶圓/加熱器密封到空間分離的環境中。
一些實施例包括建造在腔室上方的具有垂直結構構件的結構,該垂直結構構件向上向腔室蓋的中心施加力以消除由頂側上的大氣壓力及另一側上的真空所造成的撓曲。可基於頂板的撓曲來機械調整上述結構的力幅度。可使用反饋電路及力傳感器來自動完成力調整,或人工地使用例如可由操作員轉動的螺釘來完成力調整。
圖1及2繪示了依據本揭示內容的一或更多個實施例的處理腔室100。圖1示出依據本揭示內容的一或更多個實施例的繪示為橫截面等角視圖的處理腔室100。圖2示出依據本揭示內容的一或更多個實施例的處理腔室100的橫截面。因此,本揭示內容的一些實施例針對併入支撐組件200及頂板300的處理腔室100。
處理腔室100具有外殼102,該外殼具有壁104及底部106。外殼102以及頂板300界定了內部容積109(亦稱為處理容積)。
處理腔室100包括複數個處理站110。處理站110定位在外殼102的內部容積109中,且是圍繞支撐組件200的旋轉軸211用圓形佈置來定位的。處理站110在空間上圍繞處理腔室100的內部容積109而佈置。各個處理站110包括具有前面114的氣體注射器112。在一些實施例中,氣體注射器112中的各者的前面114是實質共面的。處理站110被界定為內部可發生處理的區域。例如,處理站110可由加熱器230的支撐面231(如下文所述)、及氣體注射器112的前面114所界定。
處理站110可被配置為執行任何合適的製程及提供任何合適的製程條件。所使用的氣體注射器112的類型將例如取決於所執行的製程的類型及淋噴頭或氣體注射器的類型。例如,被配置為操作為原子層沉積裝置的處理站110可具有淋噴頭或旋渦類型的氣體注射器。然而,被配置為操作為電漿站的處理站110可具有一或更多個電極及/或接地板配置以產生電漿同時允許電漿氣體朝向晶圓流動。圖2中所繪示的實施例在繪圖的左側(處理站110a)上具有與在繪圖的右側(處理站110b)上不同的類型的處理站110。合適的處理站110包括(但不限於)熱處理站、微波電漿、三電極CCP、ICP、平行板CCP、UV暴露、雷射處理、泵送腔室、退火站及計量站。
圖3到6繪示依據本揭示內容的一或更多個實施例的支撐組件200。支撐組件200包括可旋轉的中心基部210。可旋轉的中心基部210可具有對稱或不對稱的形狀且界定了旋轉軸211。如可從圖6中看見的,旋轉軸211在第一方向上延伸。第一方向可稱為垂直方向或沿著z軸;然而,將了解到,用語「垂直」的如此使用並不限於與重力牽引正交的方向。
支撐組件200包括連接到中心基部210及從該中心基部延伸的至少兩個支撐臂220。支撐臂220具有內端221及外端222。內端221與中心基部210接觸,使得在中心基部210圍繞旋轉軸211而旋轉時,支撐臂220亦旋轉。可藉由固定器(例如螺栓)或藉由與中心基部210一體地形成在一起,來將支撐臂220在內端221處連接到中心基部210。
在一些實施例中,支撐臂220與旋轉軸211正交地延伸,使得內端221或外端222中的一者相較於相同的支撐臂220上的內端221及外端222中的另一者而言距旋轉軸211較遠。在一些實施例中,支撐臂220的內端221較相同的支撐臂220的外端222靠近旋轉軸211。
支撐組件200中的支撐臂220的數量可以變化。在一些實施例中,存在著至少兩個支撐臂220、至少三個支撐臂220、至少四個支撐臂220或至少五個支撐臂220。在一些實施例中,存在著三個支撐臂220。在一些實施例中,存在著四個支撐臂220。在一些實施例中,存在著五個支撐臂220。在一些實施例中,存在著六個支撐臂220。
可將支撐臂220圍繞中心基部210而對稱地佈置。例如,在具有四個支撐臂220的支撐組件200中,支撐臂220中的各者是圍繞中心基部210用90°的區間定位的。在具有三個支撐臂220的支撐組件200中,支撐臂220是圍繞中心基部210用120°的區間定位的。換言之,在具有四個支撐臂220的實施例中,支撐臂被佈置為圍繞旋轉軸211提供四重對稱性。在一些實施例中,支撐組件200具有n個支撐臂220,且n個支撐臂220被佈置為圍繞旋轉軸211提供n重對稱性。
加熱器230定位在支撐臂220的外端222處。在一些實施例中,各個支撐臂220具有加熱器230。加熱器230的中心定位在距旋轉軸211的一定距離處,使得在旋轉中心基部210之後,加熱器230就在圓形路徑中移動。
加熱器230具有可支撐晶圓的支撐面231。在一些實施例中,加熱器230支撐面231是實質共面的。如用此方式來使用的,「實質共面」意味著,由個別的支撐面231所形成的平面是在由其他支撐面231所形成的平面的±5°、±4°、±3°、±2°或±1°內的。
在一些實施例中,加熱器230被直接定位在支撐臂220的外端222上。在一些實施例中,如繪圖中所繪示,加熱器230被加熱器間隙器234升高到支撐臂220的外端222上方。加熱器間隙器234可以是任何的尺寸及長度以增加加熱器230的高度。
在一些實施例中,通道236形成於中心基部210、支撐臂220及/或加熱器間隙器234中的一或更多者中。通道236可用來給電氣連接安排路線或提供氣流。
加熱器可以是技術人員所習知的任何合適類型的加熱器。在一些實施例中,加熱器是在加熱器主體內具有一或更多個加熱構件的電阻式加熱器。
一些實施例的加熱器230包括額外的元件。例如,加熱器可包括靜電吸盤。靜電吸盤可包括各種導線及電極,使得可在加熱器移動的同時將定位在加熱器支撐面231上的晶圓固持在適當的位置。這允許在製程的開始將晶圓夾到加熱器上,且在移動到不同的製程區域的同時將該晶圓保持在相同加熱器上的相同位置中。在一些實施例中,導線及電極的路線被安排通過支撐臂220中的通道236。圖7示出支撐組件200的一部分的分解圖,其中示出了通道236。通道236沿著支撐臂220及加熱器間隙器234延伸。第一電極251a及第二電極251b與加熱器230或與加熱器230內部的元件(例如電阻線)電連通。第一導線253a在第一連接器252a處連接到第一電極251a。第二導線253b在第二連接器252b處連接到第二電極251b。
在一些實施例中,溫度測量設備(例如高溫計、熱阻器、熱電耦)定位在通道236內以測量加熱器230溫度或加熱器230上的基板的溫度中的一或更多者。在一些實施例中,溫度測量設備的控制及/或測量導線的路線被安排通過通道236。在一些實施例中,一或更多個溫度測量設備定位在處理腔室100內以測量加熱器230及/或加熱器230上的晶圓的溫度。合適的溫度測量設備是技術人員習知的,且包括(但不限於)光學高溫計及接點式熱電耦。
可將導線的路線安排通過支撐臂220及支撐組件200以與電源(未示出)連接。在一些實施例中,通往電源的連接允許在不使導線253a、253b纏繞或損壞的情況下連續旋轉支撐組件200。在一些實施例中,如圖7中所示,第一導線253a及第二導線253b沿著支撐臂220的通道236延伸到中心基部210。在中心基部210中,第一導線253a與中心第一連接器254a連接,而第二導線253b與中心第二連接器254b連接。中心連接器254a、254b可以是連接板258的部分,使得電力或電子訊號可穿過中心連接器254a、254b。在所繪示的實施例中,支撐組件200可在不使導線盤繞或損壞的情況下連續旋轉,因為導線終止在中心基部210中。第二連接是在連接板258的相反側上(在處理腔室的外部)。
在一些實施例中,導線通過通道236直接連接到處理腔室外部的電源或電元件。在此類的實施例中,導線具有充足的餘寬以允許在不使導線盤繞或損壞的情況下將支撐組件200旋轉有限的量。在一些實施例中,支撐組件200在旋轉方向反轉之前旋轉小於或等於1080∘、990∘、720∘、630∘、360∘或270∘。這允許在不損壞導線的情況下將加熱器旋轉通過站中的各者。
再次參照圖3到6,加熱器230及支撐面231可包括一或更多個出氣口以提供背側氣流。這可協助從支撐面231移除晶圓。如圖4及5中所示,支撐面231包括複數個開口237及氣體通道238。開口237及/或氣體通道238可與真空源或氣體源(例如清洗氣體)中的一或更多者流體連通。在此類的實施例中,可包括空心管以允許氣體源與開口237及/或氣體通道238流體連通。
在一些實施例中,加熱器230及/或支撐面231被配置為靜電吸盤。在此類的實施例中,電極251a、251b(參照圖7)可包括靜電吸盤的控制線。
支撐組件200的一些實施例包括密封平台240。密封平台具有頂面241、底面及厚度。可將密封平台240圍繞加熱器230而定位,以幫助提供密封或屏障以最小化流到支撐組件200下方的區域的氣體。
在一些實施例中,如圖4中所示,密封平台240是環形的且是圍繞各個加熱器230而定位的。在所繪示的實施例中,密封平台240定位在加熱器230下方,使得密封平台240的頂面241在加熱器的支撐面231下方。
密封平台240可具有許多用途。例如,可使用密封平台240藉由增加熱質量來增加加熱器230的溫度均勻性。在一些實施例中,密封平台240與加熱器230一體地形成在一起(例如參照圖6)。在一些實施例中,密封平台240是與加熱器230分離的。例如,圖8中所繪示的實施例具有密封平台240作為連接到加熱器間隙器234的單獨元件,使得密封平台240的頂面241在加熱器230的支撐面231的位準下方。
在一些實施例中,密封平台240充當支撐板245的固持器。在一些實施例中,如圖5中所示,支撐板245是圍繞所有加熱器230的單個元件,該元件具有複數個開口242以允許接觸加熱器230的支撐面231。開口242可允許加熱器230穿過支撐板245。在一些實施例中,支撐板245是固定的,使得支撐板245與加熱器230一起垂直移動及旋轉。
在一或更多個實施例中,支撐組件200是鼓形元件;例如,如圖20中所示,是具有被配置為支撐複數個晶圓的頂面246的圓柱形主體。支撐組件200的頂面246具有被調整尺寸為在處理期間支撐一或更多個晶圓的複數個凹口(袋部257)。在一些實施例中,袋部257具有約等於待處理的晶圓的厚度的深度,使得晶圓的頂面與圓柱形主體的頂面246實質共面。可將此類支撐組件200的示例設想為圖5不具有支撐臂220的變體。圖20繪示使用圓柱形主體的支撐組件200的實施例的橫截面圖。支撐組件200包括被調整尺寸為支撐晶圓以供進行處理的複數個袋部257。在所繪示的實施例中,袋部257的底部是加熱器230的支撐面231。可將加熱器230的電力連接的路線安排通過支撐柱227及支撐板245。可向加熱器230獨立供電以控制個別的袋部257及晶圓的溫度。
參照圖9,在一些實施例中,支撐板245具有頂面246,該頂面形成與由加熱器230的支撐面231所形成的主要平面247實質平行的主要平面248。在一些實施例中,支撐板245具有頂面246,該頂面形成在支撐面231的主要平面247上方距離D的主要平面248。在一些實施例中,距離D實質等於待處理的晶圓260的厚度,使得晶圓260表面261與支撐板245的頂面246共面,如圖6中所示。如用此方式使用的,用語「實質共面」意味著,由晶圓260的表面261所形成的主要平面在共面性的±1 mm、±0.5 mm、±0.4 mm、±0.3 mm、±0.2mm或±0.1 mm內。
參照圖9,本揭示內容的一些實施例具有構成用於處理的支撐面的單獨元件。此處,密封平台240相對於加熱器230而言是單獨的元件,且被定位為使得密封平台240的頂面241在加熱器230的支撐面231下方。密封平台240的頂面241與加熱器230的支撐面231之間的距離足以允許將支撐板245定位在密封平台240上。可控制支撐板245的厚度及/或密封平台240的位置,使得支撐板245的頂面246之間的距離D足以使得晶圓260的頂面261(參照圖6)與支撐板245的頂面246實質共面。
在一些實施例中,如圖9中所示,支撐板245是由支撐柱227所支撐的。支撐柱227可具有在使用單個元件平台時防止支撐板245的中心下垂的效用。在一些實施例中,並不存在密封平台240,且支撐柱227是支撐板245的主要支撐物。
支撐板245可具有各種配置以與加熱器230及密封平台240的各種配置交互作用。圖10A示出依據本揭示內容的一或更多個實施例的支撐板245的俯視等角視圖。圖10B示出圖10A的支撐板245沿著線10B-10B’截取的橫截面圖。在此實施例中,支撐板245是平坦的元件,其中頂面246及底面249是實質扁平及/或實質共面的。所繪示的實施例在使用密封平台240來支撐支撐板245(如圖9中所示)時可以是特別有用的。
圖11A示出依據本揭示內容的一或更多個實施例的支撐板245的另一實施例的仰視等角視圖。圖11B示出圖11A的支撐板245沿著線11B-11B’截取的橫截面圖。在此實施例中,開口242中的各者具有在支撐板245的底面249上的圍繞開口242的外周邊的凸出環270。
圖12A示出依據本揭示內容的一或更多個實施例的支撐板245的另一實施例的仰視等角視圖。圖12B示出圖12A的支撐板245沿著線12B-12B’截取的橫截面圖。在此實施例中,開口242中的各者在支撐板245的底面249中具有圍繞開口242的外周邊的凹陷環272。凹陷環272產生凹陷的底面273。此類的實施例在密封平台240是不存在的或是與加熱器230的支撐面231共面時可以是有用的。凹陷的底面273可定位在加熱器230的支撐面231上,使得支撐板245的底部部分延伸於加熱器230的支撐面231下方而圍繞加熱器230的側邊。
本揭示內容的一些實施例針對用於多站式處理腔室的頂板300。參照圖1及13,頂板300具有界定蓋子的厚度的頂面301及底面302、及一或更多個邊緣303。頂板300包括延伸通過其厚度的至少一個開口310。開口310被調整尺寸為容許添加可形成處理站110的氣體注射器112。
圖14繪示依據本揭示內容的一或更多個實施例的處理站110的分解圖。所繪示的處理站110包括三個主要元件:頂板300(亦稱為蓋子)、泵送/清洗插件330、及氣體注射器112。圖14中所示的氣體注射器112是淋噴頭類型的氣體注射器。在一些實施例中,插件被連接到真空件(排氣裝置)或與該真空件流體連通。在一些實施例中,插件被連接到清洗氣體源或與該清洗氣體源流體連通。
頂板300中的開口310可具有均勻的尺寸或具有不同的尺寸。可將不同尺寸/形狀的氣體注射器112與泵送/清洗插件330一起使用,該泵送/清洗插件被合適地塑形為從開口310到氣體注射器112而轉變。例如,如所繪示,泵送/清洗插件330包括頂部331及底部333且具有側壁335。在安插到頂板300中的開口310中時,與底部333相鄰的凸耳334可被定位在形成於開口310中的擱架315上。在一些實施例中,在開口中不存在擱架315,且泵送/清洗插件330的凸緣部分337靠在頂板300的頂部上。在所繪示的實施例中,凸耳334靠在擱架315上,其中O形環314定位在該凸耳與該擱架之間,以幫助形成氣密密封。
在一些實施例中,在頂板300中存在著一或更多個清洗環309(參照圖13)。清洗環309可與清洗氣室(未示出)或清洗氣體源(未示出)流體連通,以提供正向的清洗氣體流以防止處理氣體從處理腔室洩漏。
一些實施例的泵送/清洗插件330包括氣室336,該氣室具有在泵送/清洗插件330的底部333中的至少一個開口338。氣室336具有一般在泵送/清洗插件330的頂部331或側壁335附近的入口(未示出)。
在一些實施例中,可將氣室336充有清洗或惰性氣體,該清洗或惰性氣體可穿過泵送/清洗插件330的底部333中的開口338。通過開口338的氣流可幫助產生氣體幕類型的屏障以防止製程氣體從處理腔室的內部洩漏。
在一些實施例中,氣室336被連接到真空源或與該真空源流體連通。在此類實施例中,氣體流過泵送/清洗插件330的底部333中的開口338到氣室336中。可將氣體從氣室抽到排氣裝置。可使用此類佈置來在使用期間從處理站110抽空氣體。
泵送/清洗插件330包括開口339,可將氣體注射器112安插於該開口中。所繪示的氣體注射器112具有凸緣342,該凸緣可在泵送/清洗插件330的頂部331附近與凸耳332接觸。氣體注射器112的直徑或寬度可以是可契合在泵送/清洗插件330的開口339內的任何合適的尺寸。這允許在頂板300中的相同開口310內使用各種類型的氣體注射器112。
參照圖2及15,頂板300的一些實施例包括越過頂板300的中心部位的壩360。可使用連接器367將壩360連接到中心附近的頂板300。可使用連接器367來施加與頂板300的頂部331或底部333正交的力,以補償頂部300中由壓力差引起或由頂板300的重量引起的翹曲。在一些實施例中,壩360及連接器367能夠補償頂板的中心處的最高或等於約1.5 mm的撓曲,該頂板具有約1.5 m的寬度及最高或等於約100 mm的厚度。在一些實施例中,馬達365或致動器被連接到連接器367且可造成施加到頂板300的方向力上的改變。可將馬達365或致動器支撐在壩360上。所繪示的壩360在兩個位置處與頂板300的邊緣接觸。然而,技術人員將認識到,可存在著一個連接位置或多於兩個的連接位置。
在一些實施例中,如圖2中所繪示,支撐組件200包括至少一個馬達250。該至少一個馬達250被連接到中心基部210且被配置為圍繞旋轉軸211旋轉支撐組件200。在一些實施例中,該至少一個馬達被配置為在沿著旋轉軸211的方向上移動中心基部210。例如,在圖2中,馬達255連接到馬達250且可沿著旋轉軸211移動支撐組件200。換言之,所繪示的馬達255可沿著z軸、垂直地、或與由馬達250所造成的移動正交地移動支撐組件200。在一些實施例中,如所繪示,存在著用來圍繞旋轉軸211旋轉支撐組件200的第一馬達250及用來沿著旋轉軸211(亦即沿著z軸或垂直地)移動支撐組件200的第二馬達255。
參照圖2及16,可使用一或更多個真空流及/或清洗氣體流來幫助將一個處理站110a與相鄰的處理站110b隔離。清洗氣室370可在處理站110的外邊界處與清洗氣體端口371流體連通。在圖16中所繪示的實施例中,清洗氣室370及清洗氣體端口371定位在頂板300中。氣室336(示為泵送/清洗插件330的部分)與充當泵送/清洗氣體端口的開口338流體連通。清洗氣體端口371及清洗氣室370(如圖13中所示)以及真空端口(開口338)可圍繞處理站110的周邊延伸以形成氣體幕。氣 體幕可幫助最小化或消除製程氣體洩漏到處理腔室的內部容積109中的情況。
在圖16中所繪示的實施例中,可使用差異泵送來幫助隔離處理站110。泵送/清洗插件330被示為與加熱器230及具有O形環329的支撐板245接觸。O形環329定位在開口338與氣室336流體連通的任一側上。一個O形環329定位在開口338的周線內,而另一個O形環329定位在開口338的周線外。O形環329及具有開口338的氣室336的組合可提供充足的差異壓力以維持處理站110相對於處理腔室100的內部容積109的氣密密封。在一些實施例中,存在著定位在開口338的周線之內或之外的一個O形環329。在一些實施例中,存在著兩個O形環329,一個O形環定位在與清洗氣室370流體連通的清洗氣體端口371的周線之內而另一個O形環定位在該周線之外。在一些實施例中,存在著定位在與清洗氣室370流體連通的清洗氣體端口371的周線之內或之外的一個O形環329。
可將處理站110的邊界視為一個區域,在該區域內,製程氣體被泵送/清洗插件330隔離。在一些實施例中,處理站110的外邊界是與泵送/清洗插件330的氣室336流體連通的開口338的最外緣381,如圖14及16中所示。
處理站110的數量可隨著加熱器230及支撐臂220的數量而變化。在一些實施例中,存在著相等數量的加熱器230、支撐臂220及處理站110。在一些實施例中,加熱器230、支撐臂220及處理站110被配置為使得可同時將加熱器230的支撐面231中的各者定位為與不同處理站110的前面214相鄰。換言之,加熱器中的各者同時定位在處理站中。
可變化圍繞處理腔室100的處理站110的間隔。在一些實施例中,處理站110靠近在一起到足以最小化站之間的空間,使得可在處理站110之間快速移動基板,同時在站中的一者外部花費最小量的時間及傳輸距離。在一些實施例中,處理站110被定位得靠近到足以使得在加熱器230的支撐面231上運輸的晶圓總是在處理站110中的一者內。
圖17示出依據本揭示內容的一或更多個實施例的處理平台400。圖17中所示的實施例僅表示一個可能的配置,且不應被視為本揭示內容的範圍的限制。例如,在一些實施例中,處理平台400具有與所繪示的實施例不同數量的以下項目中的一或更多者:處理腔室100、緩衝站420及/或機器人430配置。
示例性處理平台400包括了中央轉運站410,該中央轉運站具有複數個側邊411、412、413、414。所示的轉運站410具有第一側411、第二側412、第三側431及第四側414。雖然示出了四個側,但本領域中的技術人員將了解到,取決於例如處理平台400的整體配置,轉運站410可以存在任何合適數量的側邊。在一些實施例中,存在著具有三個側邊、四個側邊、五個側邊、六個側邊、七個側邊或八個側邊的轉運站410。
轉運站410具有定位在其中的機器人430。機器人430可以是能夠在處理期間移動晶圓的任何合適的機器人。在一些實施例中,機器人430具有第一臂431及第二臂432。第一臂431及第二臂432可相對於另一臂獨立地移動。第一臂431及第二臂432可在x-y平面上及/或沿著z軸移動。在一些實施例中,機器人430包括第三臂(未示出)或第四臂(未示出)。該等臂中的各者可相對於其他臂獨立地移動。
所繪示的實施例包括了六個處理腔室100,其中中央轉運站410的第二側412、第三側413及第四側414中的各者連接了兩個處理腔室。可將處理腔室100中的各者配置為執行不同的製程。
處理平台400亦可包括連接到中央轉運站410的第一側411的一或更多個緩衝站420。緩衝站420可執行相同或不同的功能。例如,緩衝站可固持處理過且被傳回原始盒子的一盒晶圓,或緩衝站中的一者可固持未處理的晶圓,該未處理的晶圓在處理之後被移動到其他緩衝站。在一些實施例中,緩衝站中的一或更多者被配置為在處理之前及/或之後預處理、預熱或清潔晶圓。
處理平台400亦可包括中央轉運站410與處理腔室100中的任一者之間的一或更多個縫閥418。縫閥418可開放及關閉以將處理腔室100內的內部容積與中央轉運站410內的環境隔離。例如,若處理腔室將在處理期間產生電漿,則針對該處理腔室關閉縫閥可以有助於防止雜散電漿損傷轉運站中的機器人。
可將處理平台400連接到工廠介面450以允許將晶圓或晶圓盒裝載到處理平台400中。可使用工廠介面450內的機器人455來將晶圓或盒子移進或移出緩衝站。可藉由中央轉運站410中的機器人430在處理平台400內移動晶圓或盒子。在一些實施例中,工廠介面450是另一群集工具(亦即另一多腔室處理平台)的轉運站。
可提供控制器495且將該控制器耦接到處理平台400的各種元件以控制該等元件的操作。控制器495可以是控制整個處理平台400的單個控制器,或控制處理平台400的個別部分的多個控制器。例如,處理平台400可包括用於個別的處理腔室100、中央轉運站410、工廠介面450及機器人430中的各者的單獨控制器。
在一些實施例中,控制器495包括中央處理單元(CPU)496、記憶體497及支援電路498。控制器495可直接控制處理平台400,或經由與特定的製程腔室及/或支援系統元件相關聯的電腦(或控制器)來控制該處理平台。
控制器495可以是任何形式的一般用途電腦處理器中的一者,可將該處理器用在工業環境中以供控制各種腔室及子處理器。控制器495的記憶體497或電腦可讀取媒體可以是可隨時取得的記憶體(例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟機、光學儲存媒體(例如光碟或數位影音光碟)、閃存碟、或任何其他形式的數位儲存器(本端或遠端))中的一或更多者。記憶體497可留存指令集,該指令集可由處理器(CPU 496)操作以控制處理平台400的參數及元件。
支援電路498被耦接到CPU 496以供用常規方式支援處理器。這些電路包括快取記憶體、電源、時脈電路、輸入/輸出電路系統、及子系統等等。可將一或更多種製程儲存在記憶體498中作為軟體常式,該軟體常式在被處理器執行或調用時,使得處理器用本文中所述的方式控制處理平台400或個別的處理腔室的操作。亦可由第二CPU(未示出)儲存及/或執行軟體常式,該第二CPU定位在由CPU 496所控制的硬體的遠端。
亦可用硬體執行本揭示內容的製程及方法中的一些製程或所有製程。如此,可用軟體實施製程且用硬體方式使用電腦系統來將該製程執行為例如特定應用積體電路或其他類型的硬體實施方式、或執行為軟體及硬體的組合。軟體常式在由處理器執行時,將一般用途電腦變換成控制腔室操作使得製程被執行的特定用途電腦(控制器)。
在一些實施例中,控制器495具有一或更多種配置以執行個別製程或子製程以執行方法。可將控制器495連接到中介元件及配置為操作該等中介元件,以執行方法的功能。例如,可將控制器495連接到氣閥、致動器、馬達、縫閥、真空控制器或其他元件中的一或更多者及配置為控制該等元件。
圖18A到18I繪示具有不同處理站110的處理腔室100的各種配置。寫有文字的圓形表示不同的處理站110及製程條件。例如,在圖18A中,存在著四個處理站110,各個處理站具有不同的文字。這表示四個處理站110,其中各個站具有與其他站不同的條件。如由箭頭所指示,可藉由從站A到D移動具有晶圓的加熱器使製程發生。在暴露於D之後,循環可繼續或反轉。
在圖18B中,可同時處理二或四個晶圓,其中晶圓在加熱器上在A及B位置之間來回移動。兩個晶圓可開始於A位置,而兩個晶圓開始於B位置。獨立的處理站110允許在第一循環期間關掉站中的兩者,使得各個晶圓從A暴露開始。可順時針或逆時針地連續旋轉加熱器及晶圓。在一些實施例中,加熱器及晶圓在第一方向上旋轉90∘(例如A到B)且接著在第二方向上旋轉90∘(例如B回到A)。可重複此旋轉以使得在不旋轉支撐組件多於約90∘的情況下處理四個晶圓/加熱器。
圖18B中所繪示的實施例在四個處理站110中處理兩個晶圓時亦可以是有用的。這在製程中的一者處於非常不同的壓力、或A及B的製程時間非常不同時可以是特別有用的。
在圖18C中,可在ABC製程中在單個處理腔室100中處理三個晶圓。可將一個站關掉或執行不同的功能(例如預熱)。
在圖18D中,可在AB-處理(AB-Treat)製程中處理兩個晶圓。例如,可僅將晶圓安置在B加熱器上。順時針直角轉動將把一個晶圓安置在A站中及把第二晶圓安置在T站中。回向轉動將把兩個晶圓移動到B站,而另一次的逆時針直角轉動將把第二晶圓安置在A站中及把第一晶圓安置在B站中。
在圖18E中,可同時處理最高四個晶圓。例如,若A站被配置為執行CVD或ALD製程,則可同時處理四個晶圓。
圖18F到18I示出用於具有三個處理站110的處理腔室100的類似類型的配置。簡而言之,在圖18F中,可使單個晶圓(或多於一個晶圓)經受ABC製程。在圖18G中,可藉由將一個晶圓安置在A位置中及將另一個晶圓安置在B位置中的一者中來使兩個晶圓經受AB製程。可接著將晶圓來回移動,使得在B位置開始的晶圓在第一動時移動到A位置及接著回到相同的B位置。在圖18H中,可使晶圓經受AB-處理製程。在圖18I中,可同時處理三個晶圓。
圖19A及19B繪示本揭示內容的另一實施例。圖19A示出加熱器230及支撐板245的部分視圖,該加熱器及支撐板已被旋轉到處理站110下方的位置,使得晶圓101與氣體注射器112相鄰。支撐板245上或加熱器230的外部分上的O形環329處於鬆弛狀態。
圖19B示出支撐板245及加熱器230朝向處理站110移動使得加熱器230的支撐面231與處理站110中的氣體注射器112的前面114接觸或幾乎接觸之後的支撐板245及加熱器230。在此位置下,O形環329被壓縮而圍繞支撐板245的外緣或加熱器230的外部分形成密封。這允許將晶圓101移動得盡可能靠近氣體注射器112,以最小化反應區域219的容積,使得可快速清洗反應區域219。
可能流出反應區域219的氣體被抽過開口338到氣室336中及到排氣裝置或前級管道(未示出)。可藉由清洗氣室370及清洗氣體端口371來產生開口338外部的清洗氣體幕。此外,加熱器230與支撐板245之間的間隙137可幫助進一步遮蔽掉反應區域219及防止反應氣體流到處理腔室100的內部容積109中。
參照回到圖17,一些實施例的控制器495具有選自以下配置的一或更多種配置:用來在複數個處理腔室之間移動機器人上的基板的配置;用來裝載基板及/或從系統卸載基板的配置;用來開啟/關閉縫閥的配置;用來向加熱器中的一或更多者提供電力的配置;用來測量加熱器的溫度的配置;用來測量加熱器上的晶圓的溫度的配置;用來裝載晶圓或從加熱器卸載晶圓的配置;用來在溫度測量與加熱器電力控制之間提供反饋的配置;用來圍繞旋轉軸旋轉支撐組件的配置;用來沿著旋轉軸(亦即沿著z軸)移動支撐組件的配置;用來設定或改變支撐組件的轉速的配置;用來向氣體注射器提供氣流的配置;用來向一或更多個電極提供電力以在氣體注射器中產生電漿的配置;用來控制電漿源的電源的配置;用來控制電漿源電源的頻率及/或功率的配置;及/或用來提供熱退火處理站的控制的配置。
此說明書的任何部分對於「一個實施例」、「某些實施例」、「一或更多個實施例」或「一實施例」的指稱意味著,連同實施例描述的特定特徵、結構、材料或特性是被包括在本揭示內容的至少一個實施例中的。因此,此說明書任何部分的各種地方中的例如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的短語的出現不一定是指本揭示內容的相同的實施例。並且,可在一或更多個實施例中以任何合適的方式結合特定的特徵、結構、材料或特性。
雖然已參照了詳細的實施例來描述本文中的揭示內容,但要了解到這些實施例僅說明本揭示內容的原理及應用。本領域中的技術人員將理解到,可在不脫離本揭示內容的精神及範圍的情況下對本揭示內容的方法及裝置作出各種更改及變化。因此,所要的是,本揭示內容包括隨附請求項及它們等效物的範圍內的更改及變化。
100:處理腔室 101:晶圓 102:外殼 104:壁 106:底部 109:內部容積 110:處理站 110a:處理站 110b:處理站 112:氣體注射器 114:前面 137:間隙 200:支撐組件 210:可旋轉的中心基部 211:旋轉軸 219:反應區域 220:支撐臂 221:內端 222:外端 227:支撐柱 230:加熱器 231:支撐面 234:加熱器間隙器 236:通道 237:開口 238:氣體通道 240:密封平台 241:頂面 242:開口 245:支撐板 246:頂面 247:主要平面 248:主要平面 249:底面 250:馬達 251a:第一電極 251b:第二電極 252a:第一連接器 252b:第二連接器 253a:第一導線 253b:第二導線 254a:中心連接器 254b:中心連接器 255:馬達 257:袋部 258:連接板 260:晶圓 261:表面
270:凸出環
272:凹陷環
273:凹陷的底面
300:頂板
301:頂面
302:底面
303:邊緣
309:清洗環
310:開口
314:O形環
315:擱架
329:O形環
330:泵送/清洗插件
331:頂部
332:凸耳
333:底部
334:凸耳
335:側壁
336:氣室
337:凸緣部分
338:開口
339:開口
342:凸緣
360:壩
365:馬達
367:連接器
370:清洗氣室
371:清洗氣體端口
381:最外緣
400:處理平台
410:中央轉運站
411:側邊
412:側邊
413:側邊
414:側邊
418:縫閥
420:緩衝站
430:機器人
431:第一臂
432:第二臂
450:工廠介面
455:機器人
495:控制器
496:中央處理單元(CPU)
497:記憶體
498:支援電路
D:距離
可藉由參照實施例來獲得可用以詳細了解上文所載的本揭示內容特徵的方式及上文簡要概述的本揭示內容的更詳細描述,該等實施例中的一些被繪示在附圖中。然而,要注意,附圖僅繪示此揭示內容的一般實施例且因此並不視為本揭示內容的範圍的限制,因為本揭示內容可容許其他等效的實施例。
圖1示出依據本揭示內容的一或更多個實施例的處理腔室的橫截面等角視圖;
圖2示出依據本揭示內容的一或更多個實施例的處理腔室的橫截面圖;
圖3示出依據本揭示內容的一或更多個實施例的支撐組件的仰視平行投影圖;
圖4示出依據本揭示內容的一或更多個實施例的支撐組件的俯視平行投影圖;
圖5示出依據本揭示內容的一或更多個實施例的支撐組件的俯視平行投影圖;
圖6示出依據本揭示內容的一或更多個實施例的支撐組件的橫截面側視圖;
圖7示出依據本揭示內容的一或更多個實施例的支撐組件的部分橫截面側視圖;
圖8示出依據本揭示內容的一或更多個實施例的支撐組件的部分橫截面側視圖;
圖9是依據本揭示內容的一或更多個實施例的支撐組件的部分橫截面側視圖;
圖10A是依據本揭示內容的一或更多個實施例的支撐板的俯視等角視圖;
圖10B是圖10A的支撐板沿著線10B-10B’截取的橫截面側視圖;
圖11A示出依據本揭示內容的一或更多個實施例的支撐板的仰視等角視圖;
圖11B是圖11A的支撐板沿著線11B-11B’截取的橫截面側視圖;
圖12A示出依據本揭示內容的一或更多個實施例的支撐板的仰視等角視圖;
圖12B是圖12A的支撐板沿著線12B-12B’截取的橫截面側視圖;
圖13是依據本揭示內容的一或更多個實施例的處理腔室的頂板的橫截面等角視圖;
圖14是依據本揭示內容的一或更多個實施例的處理站的分解橫截面圖;
圖15是依據本揭示內容的一或更多個實施例的處理腔室的頂板的示意橫截面側視圖;
圖16是依據本揭示內容的一或更多個實施例的處理腔室中的處理站的部分橫截面側視圖;
圖17是依據本揭示內容的一或更多個實施例的處理平台的示意表示;
圖18A到18I示出依據本揭示內容的一或更多個實施例的處理腔室中的處理站配置的示意圖;
圖19A及19B示出依據本揭示內容的一或更多個實施例的製程的示意表示;及
圖20示出依據本揭示內容的一或更多個實施例的支撐組件的橫截面示意表示。
200:支撐組件
220:支撐臂
222:外端
230:加熱器
231:支撐面
234:加熱器間隙器
237:開口
238:氣體通道
242:開口
245:支撐板
246:頂面

Claims (19)

  1. 一種處理腔室,包括: 一頂板,具有界定一厚度的一頂面、一底面,該頂板具有複數個開口; 一外殼,具有壁及一底部,該等壁、該底部、及該頂板的該底面界定該處理腔室的一內部容積; 複數個處理站,位在該外殼的該內部容積中,該等處理站圍繞一旋轉軸用一圓形佈置定位,各個處理站包括具有一前面的一氣體注射器,該等處理站中的各者具有該頂板中的該複數個開口中的一者內的一泵送/清洗插件以圍繞該等處理站中的各者產生一氣體幕;及 一支撐組件,位於該外殼的該內部容積中,該支撐組件定位在該複數個處理站下方,該支撐組件包括一可旋轉的中心基部,該可旋轉的中心基部具有從該中心基部延伸的複數個支撐臂,各個支撐臂具有與該中心基部接觸的一內端以及一外端,一加熱器定位在該等支撐臂中的各者的該外端上,該等加熱器具有配置為在處理期間支撐一基板的一支撐面, 其中存在相等數量的加熱器、支撐臂、及處理站。
  2. 如請求項1所述的處理腔室,其中該等支撐臂與該旋轉軸正交地延伸。
  3. 如請求項2所述的處理腔室,其中該等支撐臂中的各者包括從該中心基部延伸的一通道,該通道被配置為將導線的路線安排到連接到該加熱器的電極。
  4. 如請求項3所述的處理腔室,其中該等加熱器中的各者包括一靜電吸盤,該靜電吸盤是通過延伸通過該等支撐臂中的該通道的電極來供電的。
  5. 如請求項4所述的處理腔室,其中該等加熱器中的各者被支撐在定位在該等支撐臂的該外端處的一加熱器間隙器上。
  6. 如請求項4所述的處理腔室,其中該等加熱器的該等支撐面是實質共面的。
  7. 如請求項1所述的處理腔室,更包括:至少一個馬達,連接到該中心基部,該至少一個馬達被配置為圍繞該旋轉軸旋轉該支撐組件。
  8. 如請求項1所述的處理腔室,更包括:一支撐板,包括複數個開口,該等開口中的各者被配置為允許接觸該等加熱器的該支撐面。
  9. 如請求項8所述的處理腔室,更包括:一壩,越過該頂板的一中心部位,該壩使用一連接器連接到中心附近的該頂板。
  10. 如請求項9所述的處理腔室,其中該連接器被配置為施加與該頂板的該頂面或該底面正交的一力以補償該頂板中的翹曲。
  11. 如請求項10所述的處理腔室,其中該壩及該連接器能夠補償一頂板的中心處的高達等於1.5 mm的撓曲,該頂板具有約1.5 mm的一寬度及高達或等於100 mm的一厚度。
  12. 如請求項8所述的處理腔室,其中該等泵送/清洗插件的一底部是實質共面的。
  13. 如請求項12所述的處理腔室,其中該等泵送/清洗插件中的各者包括與該泵送/清洗插件內的一氣室流體連通的一開口,該開口被配置為與該支撐板與該加熱器之間的一間隙對準。
  14. 如請求項1所述的處理腔室,更包括:一控制器,具有選自以下項目的一或更多種配置:用來向該等加熱器中的一或更多者提供電力的一配置;用來測量該等加熱器的該溫度的一配置;用來圍繞該旋轉軸旋轉該支撐組件的一配置;用來圍繞一旋轉軸移動該支撐組件的一配置;用來設定或改變該支撐組件的一轉速的一配置;用來向一處理站提供一氣體流的一配置;或用來向該一或更多個加熱器提供電力的一配置。
  15. 一種處理平台,包括: 一中央轉運站,具有定位在該中央轉運站中的一機器人;及 如請求項1所述的至少一個處理腔室,該至少一個處理腔室連接到該中央轉運站的一側邊。
  16. 如請求項15所述的處理平台,其中存在6個連接到該中央轉運站的處理站。
  17. 如請求項16所述的處理平台,更包括:一縫閥,介於該中央轉運站與該等處理腔室中的各者之間,該縫閥被配置為開啟及關閉以將該處理腔室內的該內部容積與該中央轉運站內的環境隔離。
  18. 如請求項15所述的處理平台,更包括:一工廠介面,連接到該中央轉運站,該工廠介面被配置為允許將晶圓或晶圓盒裝載到該處理平台中。
  19. 如請求項18所述的處理平台,更包括:一控制器,耦接到該等處理腔室中的一或更多者、耦接到該工廠介面、或耦接到該中央轉運站內的該機器人。
TW110115139A 2017-10-27 2018-10-25 具有空間分離的單個晶圓處理環境 TWI768849B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762578365P 2017-10-27 2017-10-27
US62/578,365 2017-10-27

Publications (2)

Publication Number Publication Date
TW202135223A TW202135223A (zh) 2021-09-16
TWI768849B true TWI768849B (zh) 2022-06-21

Family

ID=66244211

Family Applications (3)

Application Number Title Priority Date Filing Date
TW111121817A TWI802439B (zh) 2017-10-27 2018-10-25 具有空間分離的單個晶圓處理環境
TW110115139A TWI768849B (zh) 2017-10-27 2018-10-25 具有空間分離的單個晶圓處理環境
TW107137674A TWI729319B (zh) 2017-10-27 2018-10-25 具有空間分離的單個晶圓處理環境

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111121817A TWI802439B (zh) 2017-10-27 2018-10-25 具有空間分離的單個晶圓處理環境

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107137674A TWI729319B (zh) 2017-10-27 2018-10-25 具有空間分離的單個晶圓處理環境

Country Status (6)

Country Link
US (2) US11894257B2 (zh)
JP (2) JP7337786B2 (zh)
KR (3) KR20230100751A (zh)
CN (1) CN111212931A (zh)
TW (3) TWI802439B (zh)
WO (1) WO2019084386A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6674800B2 (ja) * 2016-03-07 2020-04-01 日本特殊陶業株式会社 基板支持装置
US10903066B2 (en) 2017-05-08 2021-01-26 Applied Materials, Inc. Heater support kit for bevel etch chamber
TW202117067A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 用於改善均勻性的抖動或動態偏移
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
TW202125689A (zh) 2019-10-11 2021-07-01 美商應用材料股份有限公司 用於空間多晶圓處理工具的基座加熱器
US20220084845A1 (en) * 2020-09-17 2022-03-17 Applied Materials, Inc. High conductance process kit
US20220106683A1 (en) * 2020-10-01 2022-04-07 Applied Materials, Inc. Apparatus and methods to transfer substrates into and out of a spatial multi-substrate processing tool
CN112331598B (zh) * 2020-10-27 2023-06-20 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 晶圆承载装置和晶圆分离设备
US20220186367A1 (en) * 2020-12-13 2022-06-16 Applied Materials, Inc. Deposition Apparatus and Methods Using Staggered Pumping Locations
CN113314447B (zh) * 2021-02-01 2024-04-02 中科晶源微电子技术(北京)有限公司 晶片转移装置、腔体装置、晶片处理设备
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
US11915918B2 (en) * 2021-06-29 2024-02-27 Applied Materials, Inc. Cleaning of sin with CCP plasma or RPS clean

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110250044A1 (en) * 2008-12-19 2011-10-13 Lam Research Ag Device for treating disc-like article and method for operating same
US20160177444A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Reducing backside deposition at wafer edge
US20170117172A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Automated Replacement of Consumable Parts Using Interfacing Chambers

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0825151B2 (ja) 1988-09-16 1996-03-13 東京応化工業株式会社 ハンドリングユニット
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5849076A (en) * 1996-07-26 1998-12-15 Memc Electronic Materials, Inc. Cooling system and method for epitaxial barrel reactor
EP0917596B1 (en) * 1997-04-10 2002-06-12 Uniphase Opto Holdings, Inc. Method of manufacturing a semiconductor device and a device for applying such a method
US6105592A (en) * 1997-07-21 2000-08-22 Semitool, Inc. Gas intake assembly for a wafer processing system
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6576062B2 (en) 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
DE10043600B4 (de) * 2000-09-01 2013-12-05 Aixtron Se Vorrichtung zum Abscheiden insbesondere kristalliner Schichten auf einem oder mehreren, insbesondere ebenfalls kristallinen Substraten
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6764658B2 (en) 2002-01-08 2004-07-20 Wisconsin Alumni Research Foundation Plasma generator
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20050084610A1 (en) 2002-08-13 2005-04-21 Selitser Simon I. Atmospheric pressure molecular layer CVD
KR100553685B1 (ko) 2003-05-14 2006-02-24 삼성전자주식회사 반도체 기판을 컨테이너로부터 언로딩하는 이송장치 및이송방법
US7682454B2 (en) 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
WO2006088463A1 (en) 2005-02-17 2006-08-24 Selitser Simon I Atmospheric pressure molecular layer cvd
US20090301653A1 (en) 2006-01-25 2009-12-10 On Track Innovations Ltd. Apparatus and process for producing document core inlays
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070218702A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US20090016853A1 (en) 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
WO2009017322A1 (en) 2007-07-30 2009-02-05 Ips Ltd. Reactor for depositing thin film on wafer
WO2009024533A1 (en) * 2007-08-17 2009-02-26 Epispeed Sa Apparatus and method for producing epitaxial layers
US9287096B2 (en) 2007-09-27 2016-03-15 Lam Research Corporation Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
JP4974873B2 (ja) * 2007-12-26 2012-07-11 新光電気工業株式会社 静電チャック及び基板温調固定装置
KR20090114132A (ko) * 2008-04-29 2009-11-03 엘지이노텍 주식회사 반도체 제조장치
KR100978569B1 (ko) * 2008-06-02 2010-08-27 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP2010034505A (ja) 2008-06-30 2010-02-12 Canon Anelva Corp 積層ロードロックチャンバおよびそれを備えた基板処理装置
CN101665919A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置、成膜方法
JP5173684B2 (ja) * 2008-09-04 2013-04-03 東京エレクトロン株式会社 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラム及びこれを記憶するコンピュータ可読記憶媒体
JP5315898B2 (ja) * 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
JP4707749B2 (ja) 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US8344559B2 (en) 2009-05-05 2013-01-01 Advanced Energy Industries, Inc. Multi-feed RF distribution systems and methods
US20110290175A1 (en) 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
US20110120375A1 (en) 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
JP2011187695A (ja) 2010-03-09 2011-09-22 Taiyo Nippon Sanso Corp 気相成長方法
KR101205433B1 (ko) * 2010-07-28 2012-11-28 국제엘렉트릭코리아 주식회사 기판 서셉터 및 그것을 갖는 증착 장치
JP5572515B2 (ja) 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
KR102223624B1 (ko) 2010-11-10 2021-03-05 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
JP5727888B2 (ja) 2011-02-28 2015-06-03 株式会社吉野工業所 吐出容器
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
KR101502856B1 (ko) * 2011-05-25 2015-03-17 세메스 주식회사 기판 처리 장치 및 기판지지부재의 위치 검출 방법
US20120321788A1 (en) * 2011-06-16 2012-12-20 Pinecone Material Inc. Rotation system for thin film formation
KR20130106906A (ko) 2012-03-21 2013-10-01 주식회사 윈텔 기판 처리 장치 및 기판 처리 방법
US9091397B2 (en) 2012-03-27 2015-07-28 Lam Research Corporation Shared gas panels in plasma processing chambers employing multi-zone gas feeds
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
KR20130119211A (ko) * 2012-04-23 2013-10-31 (주)제이하라 기판처리장치용 트레이
JP6382213B2 (ja) 2012-11-30 2018-08-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated モータモジュール、多軸モータ駆動アセンブリ、多軸ロボット装置、並びに、電子デバイス製造のシステム及び方法
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
JP6190645B2 (ja) 2013-07-09 2017-08-30 東京エレクトロン株式会社 基板搬送方法
CN104752300B (zh) * 2013-12-31 2018-09-18 北京北方华创微电子装备有限公司 静电卡盘及反应腔室
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US9779971B2 (en) 2014-04-11 2017-10-03 Applied Materials, Inc. Methods and apparatus for rapidly cooling a substrate
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9378992B2 (en) 2014-06-27 2016-06-28 Axcelis Technologies, Inc. High throughput heated ion implantation system and method
TWI670394B (zh) 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
TWI676709B (zh) 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10597779B2 (en) * 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
KR102569764B1 (ko) 2015-07-28 2023-08-23 에이에스엠 아이피 홀딩 비.브이. 박막 증착을 위한 방법 및 장치
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) * 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
JP5938506B1 (ja) 2015-09-17 2016-06-22 株式会社日立国際電気 基板処理システム、半導体装置の製造方法、プログラム及び記録媒体
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10043636B2 (en) * 2015-12-10 2018-08-07 Lam Research Corporation Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
CN107022754B (zh) 2016-02-02 2020-06-02 东京毅力科创株式会社 基板处理装置
JP6740881B2 (ja) 2016-02-02 2020-08-19 東京エレクトロン株式会社 基板処理装置
WO2017189221A1 (en) 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc Plasma reactor having divided electrodes
WO2017189222A1 (en) 2016-04-29 2017-11-02 Retro-Semi Technologies, Llc Plasma reactor having divided electrodes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110250044A1 (en) * 2008-12-19 2011-10-13 Lam Research Ag Device for treating disc-like article and method for operating same
US20160177444A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Reducing backside deposition at wafer edge
US20170117172A1 (en) * 2015-10-22 2017-04-27 Lam Research Corporation Automated Replacement of Consumable Parts Using Interfacing Chambers

Also Published As

Publication number Publication date
US11894257B2 (en) 2024-02-06
TWI729319B (zh) 2021-06-01
KR20230100751A (ko) 2023-07-05
TW202135223A (zh) 2021-09-16
US20240096688A1 (en) 2024-03-21
JP2022133280A (ja) 2022-09-13
JP2021501465A (ja) 2021-01-14
US20190131167A1 (en) 2019-05-02
TW201923953A (zh) 2019-06-16
WO2019084386A1 (en) 2019-05-02
JP7337786B2 (ja) 2023-09-04
KR20220046004A (ko) 2022-04-13
JP7451601B2 (ja) 2024-03-18
KR20200062360A (ko) 2020-06-03
KR102614522B1 (ko) 2023-12-15
TWI802439B (zh) 2023-05-11
KR102383687B1 (ko) 2022-04-08
CN111212931A (zh) 2020-05-29
TW202347596A (zh) 2023-12-01
TW202243101A (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
TWI768849B (zh) 具有空間分離的單個晶圓處理環境
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
TWI777828B (zh) 原子層自對準的基板處理及整合式工具集
US20210087681A1 (en) Dithering Or Dynamic Offsets For Improved Uniformity
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
JP2023113690A (ja) 空間堆積ツールを操作する方法
TWI754180B (zh) 用於形成薄膜的處理腔室與方法
TWI838222B (zh) 具有空間分離的單個晶圓處理環境
TW202033818A (zh) 具有改善溫度均勻性的空間晶圓處理
JP2024081654A (ja) 空間分離を伴う単一ウエハの処理環境