JP2014146822A - 空間温度分布の制御方法及び装置 - Google Patents

空間温度分布の制御方法及び装置 Download PDF

Info

Publication number
JP2014146822A
JP2014146822A JP2014055288A JP2014055288A JP2014146822A JP 2014146822 A JP2014146822 A JP 2014146822A JP 2014055288 A JP2014055288 A JP 2014055288A JP 2014055288 A JP2014055288 A JP 2014055288A JP 2014146822 A JP2014146822 A JP 2014146822A
Authority
JP
Japan
Prior art keywords
temperature
wafer
flat support
chuck
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014055288A
Other languages
English (en)
Inventor
Neel Benjamin
ニール ベンジャミン
J Stigger Robert
ロバート ジェイ スティガー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2014146822A publication Critical patent/JP2014146822A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】反応性イオンエッチング及び同様な加工中半導体ウェーハの温度を制御する方法及び装置を提供する。
【解決手段】プラズマ加工装置用のチャックは、温度制御式ベースと、断熱材と、フラット支持体と、加熱器とを含む。温度制御式ベースは、操作中、加工物の望ましい温度以下の温度に制御される。断熱材は、温度制御式ベースの少なくとも一部分に上に配置される。フラット支持体は、加工物を保持し且つ断熱材の上に配置される。加熱器は、フラット支持体内に埋め込まれ及び又はフラット支持体の下側に取り付けられる。加熱器は、複数の対応する加熱ゾーンを加熱する複数の加熱素子を含む。各加熱素子に供給される電力及び又は各々の加熱素子の温度は、独立に制御される。加熱器及びフラット支持体は、毎秒少なくとも1℃の組合せ温度割合変化を有する。
【選択図】図1

Description

本発明は、基板支持体に関する。特に、本発明は、プラズマ加工中基板内に均一温度分布を達成するための方法及び装置に関する。
代表的なプラズマエッチング装置は、リアクタを含み、該リアクタには、反応ガス或いは複数の反応ガスが流れるチャンバが存在する。ガスは、チャンバ内で、典型的には高周波エネルギーによってプラズマの中へイオン化される。プラズマガスの極めて反応性が高いイオンは、集積回路(IC)に加工される半導体ウェーハ表面のポリマーマスクのような材料と反応することができる。エッチングの前に、ウェーハは、チャンバ内に配置され、ウェーハの上面をプラズマに露出させるチャック或いはホルダーによって適当な位置に保持される。いくつかの種類のチャック(サセプタとも呼ばれる)がこの技術分野で知られている。チャックは、等温面を提供し且つプラズマによってウェーハに与えられた熱を除去する、ウェーハのためのヒートシンクとして役立つ。或る種類のチャックでは、半導体ウェーハは、機械的クランプ手段によりエッチングのために適所に保持される。別の種類のチャックでは、半導体ウェーハは、チャックとウェーハとの間の電界によって発生される静電気力により適所に保持される。本発明は、両方の種類のチャックに適用することができる。
代表的なプラズマエッチング操作では、プラズマガスの反応性イオンは、半導体ウェーハ面の材料の一部と化学的に反応する。ある加工は、ウェーハにある程度の加熱を引き起すが、加熱のほとんどは、プラズマによって引き起される。他方、プラズマ(イオン及びラジカル)とウェーハ材料との間の反応は、ウェーハの温度上昇によってある程度まで加速される。ウェーハ上の各微視的な点におけるウェーハの局部的温度及び反応速度は、ウェーハの面積を横切るウェーハの温度があまりにも変化するならばウェーハの面の上の材料のエッチングの有害なむらが容易に生ずることがある程度に関係する。ほとんどの場合、エッチングがほぼ完璧な程度に均一であることが極力望ましい。そうでなければ、製造される集積回路装置(IC)は、望ましい基準から外れる電子特性を有する。更に、ウェーハ径の大きさが増すごとに、より大きなウェーハからICの各バッチの均一性を確保することの問題がさらに難しくなる。その他の場合には、カスタムプロフィールを得るためにウェーハの表面温度を制御することができることが望ましい。
反応性イオンエッチング(RIE)中ウェーハの温度上昇の問題は、よく知られており、RIE中ウェーハの温度を制御するいろいろな試みが過去になされてきた。図1は、RIE中ウェーハ温度を制御するための一つの方法を示す。(ヘリウムのような)冷却ガスが、ウェーハ104の底とウェーハ104を保持するチャック106の上面との間の単一の薄い空間102内に単一の圧力で入れられる。
一般的に、冷却材漏れを減らすためにチャック106の外縁で約1mmないし約5mm延びる平滑密封ランドを除いてチャック外周部にはOリング或いは他の縁シールがない。必然的に、エラストマーシールなしでは、密封ランド全体にわたって顕著な漸進的圧力損失があり、ウェーハ104の縁が不適当に冷却されるかもしれない。従って、ウェーハ104の縁の近くに当たる熱流108は、チャックに効果的に導かれる前にかなり半径方向内方に流れなければならない。ウェーハ104の上の矢印106は、ウェーハ104を加熱する入り熱流を示す。ウェーハ104の中の熱の流れは、矢印110で示される。これは、チャックの縁帯域が何故表面の残部よりも常に熱くなる傾向があるかを説明する。図2は、ウェーハ104の代表的な温度分布を示す。ウェーハ104の周辺部分の圧力損失により、ウェーハ104の周辺部分がさらに熱くなる。
ゾーン冷却の必要性に対処する一つの方法は、局部的な接触面積を効果的に変えるために表面粗さを変化させること或いはレリーフ(浮彫り)パターンを切ることにある。係る方式は、全く背面冷却材ガスなしで用いることができ、その場合には、接触面積、表面粗さ、及びクランプ力が熱伝導を決める。しかしながら、局部的な接触面積は、チャックを再加工することによってのみ調整することができる。ゾーン冷却の必要性に対処する別の方法は、冷却材ガスを用い、熱移動を増やしかつ微調整するために冷却材ガスの圧力を変えることである。しかしながら、レリーフパターンは、依然として実質的に固定される。チャックの表面を、ディバイダとしての小さい密封ランドの有無に関わらず、異なるゾーンに分割することによって、且つ別々の冷却ガスを各ゾーンに供給することによって、かなりの程度の独立の空間制御を達成しうる。各ゾーンへのガス供給は、異なる組成を有してもよいし、或いは異なる圧力に設定されてもよい、かくして熱伝導を変化させる。各ゾーンの作動条件は、レシピ制御の下に設定されてもよいし、或いは各加工段階中動的に安定化されてもよい。係る方式は、プラズマからの入り熱流を再分配し且つそれを異なる領域に引き出すことに依存する。これは、高電力熱流で比較的有効であるが、低電力熱流では小さな温度差を与えるだけである。例えば、約1W/cm2の均一熱流及び約3mmの密封ランドでは、ウェーハ周辺近くで10℃ないし30℃の温度増加に導く中心ないしエッジの熱勾配を得ることが可能である。この大きさの熱勾配は、加工制御パラメータとして非常に効果的でありうる。しかしながら、他の加工が低電力で行なわれてもよく、例えばポリゲート加工がほんの0.2W/cm2の熱流を有してもよい。非常に制御しにくく且つ不適当な全体冷却をもたらす傾向がある、平均伝導を極端に低くしない限り、典型的には5℃以下の非常に小さな差が存在だけである。
従って、かなりのプラズマ熱流を要求することなく反応性イオンエッチング及び同様な加工中半導体ウェーハの温度を制御するための方法及び装置の要求が存在する。本発明の主要な目的は、これらの要求を解決し且つ関連した利点を更に提供することにある。
プラズマ加工装置のためのチャックは、温度制御式ベースと、断熱材と、フラット支持体と、加熱器と、を含む。温度制御式ベースは、操作中、加工物の望ましい温度以下の温度に制御される。断熱材は、温度制御式ベースの少なくとも一部分の上に配置される。フラット支持体は、加工物を保持し且つ断熱材の上に配置される。加熱器は、フラット支持体内に埋め込まれ及び又はフラット支持体の下側に取り付けられる。加熱器は、複数の対応する加熱ゾーンを加熱する複数の加熱素子を含む。各加熱素子に供給される電力及び又は各加熱素子の温度は、独立に制御される。加熱器及びフラット支持体は、毎秒少なくとも1℃の組合せ温度割合変化を有する。
先行技術による加工中ウェーハを保持する支持体の概略正面図である。 先行技術による図1の装置のウェーハの温度及び冷却液の圧力を示す図表である。 本発明の一実施形態による加工物の温度を制御するための装置を示す概略正面図である。 図3の装置の熱流力学の簡易図である。 本発明の別の実施形態による加工物の温度を制御するための装置を示す概略正面図である。 本発明の一実施形態によるエッチング中チャックの温度を制御するための方法を示すフロー図である。 本発明の一実施形態によるチャックの温度を制御するためのシステムの概略図である。 本発明の一実施形態による二つの空間領域ゾーンを有するウェーハ支持体の例を示す概略図である。
本発明の実施形態を加工物支持体に関連してここに記述する。当業者は、本発明の以下の詳細な説明が単に例証であり、いかなる意味においても限定になるものではない、ということを認識するであろう。本発明の他の実施形態は、それ自体をこの開示の利益を有するそのような当業者に容易に思付かせるであろう。今、添付図面に図示されるように本発明の実施例を詳細に参照する。同じ或いは同様の部分を示すために、図面及び以下の詳細な説明全体を通して同じ参照表示が用いられる。
明瞭にするために、ここに記述される実施のごく普通の部分の全ては示されていないし又記述されてもいない。勿論、そのような実際の実施の開発において、多くの実施−特別の決定を、アプリケーション−及びビジネス関連制約の順守のような、開発者の特別の目標を達成するために行なわなければならないし、これらの特別の目標は、その実施により(一つの実施から別の実施まで)及びその開発者により(一人の開発者から別の開発者まで)変化するであろう、ということが理解されるであろう。さらに、そのような開発の努力は、複雑であり時間がかかるが、それにもかかわらず、この開示の利益を有する当業者にとっては、エンジニアリングの日常の仕事になるということが理解されるであろう。
本発明の装置は、正確に著しい温度差制御、例えば5℃以上、を達成するが、しかし著しいプラズマ熱流、例えば2W/cm2以下、を必要としないことを求する。図3は、本発明の一実施形態に従って加工物の温度を制御するための装置を示す概略正面図である。温度制御式ベース302或いは熱交換機がウェーハ310の所望温度以下の一定温度を有する。ベース302は、断熱材304を支持する。好適にはフラットな、支持体306が、断熱材304の上に取り付けられる。加熱器308が支持体306に埋め込まれる。ウェーハ310が支持体306の上に配置される。熱導体312が支持体306とウェーハ310との間の親密な熱接触をもたらす。熱導体312は、好適にはヘリウムのようなガスであるのがよい。ヘリウムの圧力は、ウェーハ310と支持体306との間の熱伝導を制御する。しかしながら、熱導体312の熱伝導率は、20或いは30トルのようなより高い圧力で感圧性が低くてもよい。
一実施形態では、ベース302は、金属材料、好ましくは、アルミニウムベース低温板からなり、該アルミニウムベース低温板は、冷却/加熱流体ループのような在来の熱交換システムにより比較的一定の温度に維持され、かつ操作中水平方向に均一な温度に保持される。別の実施形態では、ベース302は、また、硝酸アルミニウムのような、非金属材料からなっていてもよい。しかしながら、ベース302を、加熱器308なしで標準操作におけるよりも大いに冷やさなければならない。例えば、ベース302の温度は、ウェーハの所望温度以下の10℃〜50℃であるのがよい。ベース302は、また、プラズマ加熱のために熱シンクを提供する。外部冷却液冷却装置(図示せず)をベース302の温度を維持するために用いてもよい。好ましくは、外部冷却液冷却装置によって取り除かれる熱量及び冷却液の温度は、2000W及び−20℃以下にそれぞれ制限されるのがよい。冷却装置側のより大きな容量は、熱応答を助ける−1〜2kW動作に制限することがより経済的に実用的である。ベース302は、いくつかの穴或いキャビティ(図示せず)を更に有し、加熱器電力線314或いは他のサービスラインがそれを通して配置される。係るサービスライン314は、加熱器、センサ、高電圧静電気クランピング、ガス供給、及びウェーハ吊り上げのための電力線からなるかもしれない。当業者は、サービスラインが先に示したものに限定されないということをいま認識するであろう。
一実施形態では、断熱材304は、支持体306とベース302との間の重要なサーマルインピーダンスブレークとして作用する。断熱材304は、厚いRTV結合接着剤層からなってもよいし、或いはポリマー、プラスチック、或いはセラミックで作られてもよい。しかしながら、断熱材304のサーマルインピーダンスブレークは、過度すぎることがなく、そうでなければ、ウェーハ310は、十分に冷却されないであろう。例えば、断熱材は、約0.05W/mK〜約0.20W/mKの範囲の熱伝導率を有するのがよい。この場合の断熱材304は、熱抵抗素子と、支持体306とベース302との間の結合との両方として作用する。更に、断熱材304は、プラズマとベース302との間の適切なRF結合が維持されるようでなければならない。また、断熱材304は、層の上下に配置される異なる材料及び温度による大きな熱−機械的剪断力を許容しなければならない。断熱材304は、加熱器電力線314及び他のサービスラインの部分を収容するためのベース302のキャビティに隣接するいくつかのキャビティ或いはバイアス(図示せず)を更に有するのがよい。
一実施形態では、支持体306は、セラミック材料からなる。セラミックは、例えば、セラミックアルミナのような、非導電性材料であるのがよい。支持体306の形状は、好ましくは、プラズマエッチングシステムで一般的に用いられる在来の円盤を含むのがよい。支持体306は、通常の静電気チャックであってもよいし或いはウェーハ310を抑えるための機械的クランプを有するセラミックであってもよい。別の実施形態によれば、支持体306構造は、“ベースに結合された薄い円盤”の種類であるか、そうでなければ、横方向の伝導があまりにも高いので加熱器入力が横方向に拡がり無効ゾーン分離をもたらす。支持体306は、熱を局所的に消散させるべきである。
加熱器308は、少なくとも一つの抵抗加熱素子を含む。一実施形態によれば、加熱器308は、クランプ電極平面の下で支持体306に埋設され且つ望ましいパターン、例えば、対称或いは任意に成形されるのがよい。加熱器308は、また、一つ以上の平面加熱素子を含むのがよい。各加熱素子は、個別に制御されうる加熱ゾーン又は領域を画成する。マルチゾーンパターンは、支持体306に対する伝導冷却に反抗して機能する一つ以上の平面加熱素子を有する。支持体306に対する加熱器308によってもたらされる温度速度変化は、少なくとも毎秒1℃であるのがよい。
各加熱ゾーンと関連した少なくとも一つのセンサ309は、各加熱ゾーンの温度を測定し且つ信号をコントローラ或いはコンピュータシステム(図7参照)に送信して各個々の平面加熱素子を監視し且つ制御するのがよい。例えば、センサは、ウェーハ310から直接読み取るためにポートを通して取り付けることができる赤外線放射センサ或いは熱電対センサであるのがよい。センサ309は、また、支持体306内に或いはその背後に取り付けることができる。加熱器308には、断熱材304及びベース302の開口314を通して配置される電力線312によって電力が供給されるのがよい。
一実施形態では、加熱器308は、誘導加熱器からなる。別に実施形態では、加熱器308は、クリプトンランプ或いはクォーツランプのような、加熱ランプからなる。更に別の実施形態によれば、加熱器308は、冷却或いは加熱することができる熱電モジュールからなる。熱電モジュールにより、ベース及びサーマルブレークは、任意であってもよい。当業者は、支持体306を加熱するための多くの他の方法が存在するということをいま認識するであろう。
図4は、図3の装置の熱流動力学の簡易略図を示す。入力プラズマ熱流Q1は、ウェーハ310の表面上の温度T1に寄与する。加熱器308は、更なる熱流Q3をウェーハ支持体306、それによりウェーハ310にもたらす。冷却ベース302に支持体306及び断熱材304を通してシステムを出る熱流Q2は、入り熱流Q1及びQ3の両方に概ね等しい。従って:
Figure 2014146822
定義により、ウェーハ310の温度T1と断熱材304を通る温度差ΔTとの合計は、冷却ベース302の温度T2に等しい:
Figure 2014146822
ΔTは、断熱材304の熱伝導率によって画成されるということに注目すべきである。かくして加熱器308によって生じた、追加の熱流Q3は、ΔTを制御する。従って、加熱器308に供給される電力は、Q1の範囲についてウェーハの表面に所望の温度T1を生じさせるように調整することができる。
好ましくは、ベース302の温度は、入り熱流Q1がないときの概ねQ3の最大入り熱流の概ね半分の出る熱流Q2を生じさせるように設定されかつQ3の最大熱流は、Q1の最大熱流に概ね等しい:
Figure 2014146822
この好ましい方式では、ウェーハ310の温度T1を変えることができる範囲を、最大にする。即ち、ウェーハの局部温度を、マルチゾーン加熱パターン方式で加熱器308の加熱電力を制御することによって調整することができる。一実施形態によれば、ベース302の温度は、Q1の最大値とQ3の最大値の合計がQ2の最大値に等しい在来の装置よりも約20℃冷たく制御される。
図5は、チャックの別の実施形態を示す。プラズマ加工装置用のチャックは、ウェーハ504の所望温度以下の温度を有する温度制御式ベース502を有する。断熱材料の層506は、ベース502の上に配置される。ウェーハ504を保持するために用いられるフラット支持体508は、断熱材料の層506の上に配置される。加熱器510は、フラット支持体508の下側に取り付けられる。ベース502及び断熱材料の層506は、加熱器電力線514或いは他のサービスラインが配置される穴或いはキャビティ(図示せず)を更に含む。係るサービスライン514は、加熱器、センサ、高電圧静電気クランピングのための電力線からなるのがよい。当業者は、サービスラインが先に示したものに限定されないということを認識するであろう。
加熱器510には、断熱材506及びベース502の開口514を通して配置される電力線312によって電力が供給される。加熱器510は、少なくとも一つの抵抗加熱素子を含む。一実施形態によれば、加熱器510は、支持体508の下側に取り付けられかつ所望のパターン、例えば、対称或いは任意に成形されてもよい。(例えば、図8参照)。加熱器510は、一つ以上の平面加熱素子を含むのがよい。各加熱素子は、独立に制御される加熱ゾーン或いは領域を画成する。マルチゾーンパターンは、支持体508に対する伝導冷却に反対して作用する一つ以上の平面加熱素子を有する。
各加熱ゾーンと関連した少なくとも一つのセンサ516が、各加熱ゾーンの温度を測定し且つ信号をコントローラ或いはコンピュータシステム(図7参照)に送信して各個々の平面加熱素子を監視し且つ制御するのがよい。例えば、センサは、ウェーハ504から直接読み取るためにポートを通して取り付けられることができる赤外放射センサ或いは熱電対センサであるのがよい。センサ516は、支持体508内に埋設されるのがよい。
図8は、二重加熱領域:内側領域802及び外側領域804、を有する支持体508の一例を示す。各領域は、その領域自身の加熱器の組(図示せず)によって独立に加熱されるようにするのがよい。当業者は、支持体が多くの他の方法で幾何学的に画成される領域を含んでもよいということを認識するであろう。
半導体装置の複雑さが増すと、マルチステップ加工の使用を生じさせ、このマルチステップ加工では、単一のエッチレシピ(エッチ手段)が、エッチング加工が進行するに従ってエッチング条件を変えるために用いられる複数ステップを含む。マルチステップエッチング加工は、例えば、フォトレジストマスクが亜硝酸層をエッチするために用いられ、次いで後続する層のためのエッチングマスクとして用いられる。更に、特定層のエッチングは、エッチの実行中に変わる加工条件で高められる。特に、エッチング加工の一部分を初期温度で実行し、引き続いて、てエッチされる特定の層にとって最適なエッチング条件をもたらすようにこのレシピ内で後のステップの温度を変化させることがしばしば望ましい。
あるエッチング加工条件は、他の加工条件よりも遥かに感温性であることが知られており、そのような場合には、エッチング加工のこの感温性であることを補償するか或いはそれを利用するために、エッチレシピ内でステップ毎にウェーハ温度を変えることができることが望ましい。例えば、相対的エッチ速度は、ある加工条件下で温度により縦方向及び横方向で異なり、この効果を、エッチング加工が進行するに従ってウェーハ温度を変えることによってエッチのそのテーパ角度を変えるために用いることができる。
ある加工条件の下で、リアクタンスの局部濃度は、横方向エッチ速度がウェーハ全体にわたって変化するように、ウェーハ全体にわたって同様に変化する。これは、ウェーハ全体にわたってエッチされた部分の寸法形状の変化をもたらし、それは一般的に望ましくない。横方向エッチ速度の感温性を用いることにより、ウェーハ支持ゾーン温度を変えることによって径方向温度勾配を誘発することが可能であり、径方向温度勾配を誘発し、それにより局部リアクタント濃度の変化を補償してウェーハ全体にわたって一定の部分寸法形状をもたらす条件を生成するということが観測された。
複数層がエッチされるような場合には、ウェーハ全体にわたって部分寸法形状を維持すること及び又は層内でテーパを生成することの必要性により、ステップ毎に基づいて並びに所与のステップ内で半径方向温度プロフィールを変えることが必要であるかもしれない。かくして、マルチゾーン温度制御式ウェーハ支持体が、ゾーンが異なる温度で作動される条件下で用いられ、且つエッチ中に加工条件を変えるマルチステップレシピが採用されるときには、異なるエッチング条件の異なる感温性を説明するか或いは利用するために温度制御式ウェーハ支持体ゾーンの温度を変えることもしばしば必要である。
代表的なエッチングレシピの継続時間は、約20秒〜約2分であり、代表的なレシピは、レシピ内にいくつかのステップを有する。そのように、マルチステップ温度制御のために数秒内でウェーハ支持体ゾーン温度を変えることができることが必要である。興味があるほとんどの場合では、レシピ内のこれらの温度変化は、約10℃以下である。従って、毎秒約0.3℃の速度でゾーン温度を変えることができること、そして好ましくは毎秒1℃以上の速度でゾーン温度を変えることができるのが望ましい。
図3で説明した埋込み式加熱器を有するセラミックESCの場合について、高速ESCについての基本設計基準は、セラミックESCの熱質量が小さいこと及び加熱器電力密度が大きいことである。ESCの下の温度層の熱抵抗が比較的低い熱伝導率を有することも望ましい。かくして、ESCの厚み、加熱器電力密度、及び熱抵抗は、約毎秒1℃以上の温度変化を許容するように選択される。
図6は、エッチング加工中にフラット支持体の各領域の温度を空間的且つ時間的に制御することによって上記解決法を実施するフロー図を示す。特に、図6は、エッチング加工中にウェーハを加工するための方法も示す。ステップ602で、ベースが設けられる。ベースは、加工されるウェーハの温度以下である一定の温度に維持される。先に説明したように、断熱材料の層がベースの上に取り付けられる。ステップ604で、ウェーハは、異なる空間領域を含むフラット支持体の上面に保持される。先に説明したように、フラット支持体は、断熱材料の層の上に取り付けられる。ステップ606で、上記フラット支持体の各空間領域は、フラット支持体の下側に取り付けられるか或いはフラット支持体内に埋設される少なくとも一つの加熱器により初期温度まで独立に加熱される。各々の領域の初期温度は、互いに異なるようにしてもよい。ステップ608で、エッチング加工中のフラット支持体の少なくとも一つの空間領域の温度は、少なくと毎秒1℃の速度で別の温度に変えられる。各々の領域についての最終温度は、互いに異なるようにしてもよい。
別の実施形態によれば、各々の空間領域の温度を、各々の空間領域の内側に配置されたセンサで更に監視してもよい。センサによって生成された信号を、加熱器に供給される電力を変えることによって各々の空間領域の温度を調整するために用いてもよい。
図7は、本発明の一実施形態によるチャックの温度を制御するためのシステムの略図である。ユーザ702がコンピュータ704への一組のパラメータを定義してもよい。係る一組のパラメータは、例えば、チャックの第1のゾーンの所望の温度、チャックの第2のゾーンの所望の温度であるのがよい。当業者は、チャックが一つ以上のゾーンを有してもよいということを認識するであろう。コンピュータ704は、記憶部品706と通信し、該記憶部品706は、図6のアルゴリズム、コンピュータ704の入力及び出力を記憶する。第1の組のセンサ708がチャックの第1のゾーンを測定する。第2の組のセンサ710がチャックの第2のゾーンを測定する。第1の組のセンサ708の温度測定に基づいて、コンピュータ704は、第1の組の加熱素子712に制御を送ってチャックの第1のゾーンの温度を調整する。第2の組のセンサ710の温度測定に基づいて、コンピュータ704は、第2の組の加熱素子714に制御を送ってチャックの第2のゾーンの温度を調整する。
静電気チャックのウェーハの温度プロフィールを制御するためのこれらの一般化した方法は、誘導結合プラズマ(ICP)加工装置のアプリケーションに適するだけでなく、他のシステムアプリケーション、特にウェーハに対して低プラズマパワーフラックスを必要とするアプリケーションにも適する。この技法は、熱勾配緩和を生じさせる必要性が在る他のアプリケーションに適用してもよい。
この発明の実施形態及びアプリケーションを示し且つ説明したが、ここに示された発明の概念から逸脱することなく上記したものよりもさらに多くの変更が可能であるということは、この開示の利益を有する当業者に明らかであろう。従って、本発明は、添付した特許請求の範囲の精神以外では限定されるものではない。
この明細書に援用されかつその一部を構成する添付図面は、本発明の一つ以上の実施形態を示し、詳細の説明と一緒に、本発明の原理及び実施例を説明する役割をする。
302 温度制御式ベース
304 断熱材
306 支持体
308 加熱器
309 センサ
310 ウェーハ
312 熱導体
314 サービスライン

Claims (17)

  1. 特定の層がエッチングされるためにウェーハ温度が変化するマルチステッププラズマエッチング加工中、半導体ウェーハを横切る空間温度を制御するための方法であって、
    上に取り付けられた断熱材料の層を有するベースを、上記半導体ウェーハの温度以下である一定温度に維持し、
    上記半導体ウェーハを、複数の空間領域を有しかつ上記断熱材料の層の上に取り付けられたフラット支持体の上面に、静電的にクランプし、
    リアクタンスの局部濃度が上記ウェーハを横切って変化するような条件下で、上記ウェーハ上の層をプラズマエッチングし、
    上記局部リアクタント濃度の変化を補償する半径方向の温度勾配を誘発するように、上記フラット支持体の各空間領域を、上記フラット支持体の下側に取り付けられた複数の加熱器で独立に加熱し、
    上記マルチステッププラズマエッチング加工中、上記フラット支持体の少なくとも一つの空間領域の温度を毎秒少なくとも1℃の割合で加熱する、上記方法。
  2. 上記複数の空間領域の温度を、各領域に配置されたセンサで監視し、少なくとも一つの空間領域を少なくとも1℃/秒の割合で冷却することを更に含む、請求項1に記載の方法。
  3. 上記監視に基づいて各空間領域の温度を調整し、少なくとも1℃/秒の割合で温度を変化させる工程の間、上記少なくとも一つの空間領域の温度を10℃以下だけ変化させることを更に含む、請求項2に記載の方法。
  4. 上記複数の加熱器は、複数の熱電素子を含む、請求項1に記載の方法。
  5. プラズマから上記ウェーハに当たる熱流は、2W/cm以下である、請求項1記載の方法。
  6. 上記ウェーハの外縁が上記フラット支持体の外縁から半径方向外方へ延び、上記ウェーハの上記外縁に当たる熱流は半径方向内方へ流れる、請求項1記載の方法。
  7. 上記断熱材料の層は、上記ベースと上記フラット支持体との間のサーマルインピーダンスブレークを提供し、上記断熱材料の層は、0.05W/mK〜0.20W/mKの熱伝導率を有する、請求項1記載の方法。
  8. 上記ベースは、上記半導体ウェーハの温度以下の10℃〜50℃の温度に維持される、請求項1記載の方法。
  9. プラズマ処理装置用のチャックであって、
    加工物の所望温度以下の温度を有する温度制御式ベースを含み、上記加工物は、プラズマ加工を受け、上記プラズマ加工が上記加工物に温度の非均一性を引き起し、
    上記ベースの上に配置された断熱材料の層と、
    上記断熱材料の層の上に配置され、上記加工物を保持するためのフラット支持体と、
    上記フラット支持体に結合され、上記フラット支持体の複数の加熱領域に対応する複数の加熱素子を含む加熱器と、を含み、
    上記加熱素子は、上記プラズマ加工中、上記加工物全体にわたってほぼ均一なエッチング結果を達成するように独立に加熱され、
    上記複数の加熱領域に対応する複数のセンサを含み、各センサは、上記領域の温度を測定し且つ対応する加熱領域の温度を表す信号を送信し、
    上記信号を上記センサから受信するように構成されたコントローラを含み、該コントローラは、各加熱領域の温度を毎秒少なくとも1℃の加熱割合で10℃以下だけ変化させるように、加熱領域毎にセットポイントに基づいて各平面加熱素子の電力を調整するように構成される、上記チャック。
  10. 各加熱素子に供給される電力は、互いに独立に制御される、請求項9に記載のチャック。
  11. 上記フラット支持体と上記加工物との間に配置された熱導体を更に含む、請求項9に記載のチャック。
  12. 上記温度制御式ベースは、−20℃以下の一定温度に維持される、請求項9に記載のチャック。
  13. 上記加熱器は、複数の電気的抵抗加熱器を含む、請求項9に記載のチャック。
  14. 上記加熱器は、複数の誘導加熱器を含む、請求項9に記載のチャック。
  15. 上記加熱器は、複数の加熱ランプを含む、請求項9に記載のチャック。
  16. 上記加熱器は、複数の熱電気モジュールを含む、請求項9に記載のチャック。
  17. 上記フラット支持体は、静電気チャックを含む、請求項9に記載のチャック。
JP2014055288A 2004-12-02 2014-03-18 空間温度分布の制御方法及び装置 Pending JP2014146822A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/004,179 US20050211385A1 (en) 2001-04-30 2004-12-02 Method and apparatus for controlling spatial temperature distribution
US11/004,179 2004-12-02

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011176261A Division JP2011244011A (ja) 2004-12-02 2011-08-11 空間温度分布の制御方法及び装置

Publications (1)

Publication Number Publication Date
JP2014146822A true JP2014146822A (ja) 2014-08-14

Family

ID=36295018

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2007544574A Pending JP2008522446A (ja) 2004-12-02 2005-12-01 空間温度分布の制御方法及び装置
JP2011176261A Pending JP2011244011A (ja) 2004-12-02 2011-08-11 空間温度分布の制御方法及び装置
JP2014055288A Pending JP2014146822A (ja) 2004-12-02 2014-03-18 空間温度分布の制御方法及び装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2007544574A Pending JP2008522446A (ja) 2004-12-02 2005-12-01 空間温度分布の制御方法及び装置
JP2011176261A Pending JP2011244011A (ja) 2004-12-02 2011-08-11 空間温度分布の制御方法及び装置

Country Status (7)

Country Link
US (3) US20050211385A1 (ja)
JP (3) JP2008522446A (ja)
KR (1) KR101109440B1 (ja)
CN (2) CN101111934A (ja)
SG (3) SG10201408008QA (ja)
TW (1) TWI481297B (ja)
WO (1) WO2006068805A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016072477A (ja) * 2014-09-30 2016-05-09 日本特殊陶業株式会社 静電チャック

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US8038796B2 (en) 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
US7525787B2 (en) * 2005-09-30 2009-04-28 Lam Research Corporation Electrostatic chuck assembly with dielectric material and/or cavity having varying thickness, profile and/or shape, method of use and apparatus incorporating same
TW200802553A (en) * 2006-05-17 2008-01-01 Eagle Ind Co Ltd Heating apparatus
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US7723648B2 (en) * 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
TWI508129B (zh) * 2007-10-31 2015-11-11 Lam Res Corp 利用氣體壓力來控制液體冷卻劑與構件體間之熱傳導的溫度控制模組
JP5222442B2 (ja) * 2008-02-06 2013-06-26 東京エレクトロン株式会社 基板載置台、基板処理装置及び被処理基板の温度制御方法
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
KR20100037765A (ko) * 2008-10-02 2010-04-12 삼성전자주식회사 플라즈마 발생장치
US20100116788A1 (en) * 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP5270310B2 (ja) * 2008-11-13 2013-08-21 東京エレクトロン株式会社 静電チャック及び基板処理装置
KR101691044B1 (ko) * 2009-02-04 2016-12-29 맷슨 테크놀로지, 인크. 기판의 표면에 걸친 온도 프로파일을 방사상으로 튜닝하는 정전 척 시스템 및 방법
JP2011049425A (ja) * 2009-08-28 2011-03-10 Ngk Spark Plug Co Ltd 半導体製造装置用部品
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
WO2011081645A2 (en) 2009-12-15 2011-07-07 Lam Research Corporation Adjusting substrate temperature to improve cd uniformity
US8410393B2 (en) 2010-05-24 2013-04-02 Lam Research Corporation Apparatus and method for temperature control of a semiconductor substrate support
US8529729B2 (en) * 2010-06-07 2013-09-10 Lam Research Corporation Plasma processing chamber component having adaptive thermal conductor
US8580693B2 (en) * 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
US8822876B2 (en) * 2010-10-15 2014-09-02 Applied Materials, Inc. Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) * 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
JP4980461B1 (ja) * 2010-12-24 2012-07-18 三井造船株式会社 誘導加熱装置
EP2660860B8 (en) * 2010-12-27 2020-12-09 Creative Technology Corporation Work heating device and work treatment device
JP5994772B2 (ja) * 2011-03-23 2016-09-21 住友大阪セメント株式会社 静電チャック装置
KR101868130B1 (ko) * 2011-08-30 2018-06-18 와틀로 일렉트릭 매뉴팩츄어링 컴파니 열 어레이 시스템
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
CN103123906A (zh) * 2011-11-18 2013-05-29 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
CN103137517B (zh) * 2011-11-25 2016-08-03 中芯国际集成电路制造(北京)有限公司 用于处理晶圆的反应装置、静电吸盘和晶圆温度控制方法
JP6017781B2 (ja) * 2011-12-07 2016-11-02 新光電気工業株式会社 基板温調固定装置及びその製造方法
NL2009858A (en) * 2011-12-27 2013-07-01 Asml Netherlands Bv Substrate holder, lithographic apparatus, and device manufacturing method.
US9324589B2 (en) 2012-02-28 2016-04-26 Lam Research Corporation Multiplexed heater array using AC drive for semiconductor processing
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US9538583B2 (en) 2013-01-16 2017-01-03 Applied Materials, Inc. Substrate support with switchable multizone heater
US20140251214A1 (en) * 2013-03-06 2014-09-11 Applied Materials, Inc. Heated substrate support with flatness control
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
JP6159172B2 (ja) * 2013-06-26 2017-07-05 東京エレクトロン株式会社 温度制御方法及びプラズマ処理装置
KR20180110213A (ko) * 2013-08-06 2018-10-08 어플라이드 머티어리얼스, 인코포레이티드 국부적으로 가열되는 다-구역 기판 지지부
US9541846B2 (en) 2013-09-06 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Homogeneous thermal equalization with active device
US9417138B2 (en) * 2013-09-10 2016-08-16 Varian Semiconductor Equipment Associates, Inc. Gas coupled probe for substrate temperature measurement
KR101458864B1 (ko) * 2013-09-30 2014-11-07 (주)엘케이솔루션 정전척
CN104600000A (zh) * 2013-10-30 2015-05-06 沈阳芯源微电子设备有限公司 一种基板周边吸附烘烤结构
CN104637838B (zh) * 2013-11-15 2018-06-26 中微半导体设备(上海)有限公司 一种半导体处理装置
JP6240532B2 (ja) * 2014-02-27 2017-11-29 東京エレクトロン株式会社 静電チャックの温度制御方法
US10006717B2 (en) * 2014-03-07 2018-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Adaptive baking system and method of using the same
US9543171B2 (en) 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
KR20160054153A (ko) * 2014-11-05 2016-05-16 삼성전자주식회사 레이저 어닐링 장비
JP6530220B2 (ja) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 セラミックヒータ及びその制御方法、並びに、静電チャック及びその制御方法
KR20180011119A (ko) 2015-05-22 2018-01-31 어플라이드 머티어리얼스, 인코포레이티드 방위방향으로 튜닝가능한 다중-구역 정전 척
WO2017003646A1 (en) * 2015-06-29 2017-01-05 Varian Semiconductor Equipment Associates, Inc. Thermal shield for electrostatic chuck
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
EP3414541B1 (en) * 2016-02-08 2020-09-30 Watlow Electric Manufacturing Company Temperature sensing system for rotatable wafer support assembly
CN107331595B (zh) * 2016-04-29 2019-08-13 中微半导体设备(上海)股份有限公司 用于等离子处理装置及其温度控制方法和校准方法
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
JP2018063974A (ja) 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
CN108062124B (zh) * 2016-11-08 2020-04-07 中微半导体设备(上海)股份有限公司 一种温控晶圆安装台及其温控方法
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US11062886B2 (en) * 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for controlling wafer uniformity
KR102091515B1 (ko) * 2018-02-22 2020-03-20 주식회사 에프에스티 정전척 및 반도체처리장치의 제어장치
KR20200023988A (ko) 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
KR102225682B1 (ko) * 2018-09-28 2021-03-12 세메스 주식회사 기판의 열처리 방법
CN109473381A (zh) * 2018-10-31 2019-03-15 上海华力微电子有限公司 湿法刻蚀清洗设备和方法
KR102211817B1 (ko) * 2018-12-14 2021-02-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
KR20210118472A (ko) * 2019-02-15 2021-09-30 램 리써치 코포레이션 멀티-패터닝 프로세스들을 위한 멀티-존 가열된 기판 지지부를 사용한 트리밍 및 증착 프로파일 제어
DE102019207772A1 (de) 2019-05-28 2020-12-03 Siltronic Ag Verfahren zum Abscheiden einer epitaktischen Schicht auf einer Vorderseite einer Halbleiterscheibe und Vorrichtung zur Durchführung des Verfahrens
CN110600419A (zh) * 2019-09-20 2019-12-20 上海华力微电子有限公司 一种静电吸盘及其使用方法
CN110707035A (zh) * 2019-10-16 2020-01-17 北京北方华创微电子装备有限公司 静电卡盘、半导体处理腔室及设备
CN110752171B (zh) * 2019-11-01 2022-07-29 长江存储科技有限责任公司 晶圆弯曲度调整装置及方法
JP7330078B2 (ja) * 2019-11-25 2023-08-21 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US20220223425A1 (en) * 2021-01-08 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. By-site-compensated etch back for local planarization/topography adjustment
CN117742409A (zh) * 2023-12-21 2024-03-22 九江物瑞网络科技有限公司 基于工业互联网的数据处理方法及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置
JPH11243077A (ja) * 1997-12-26 1999-09-07 Hitachi Ltd プラズマ処理方法およびプラズマ処理装置
JP2002009140A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 静電チャック装置
JP2002076103A (ja) * 2000-08-23 2002-03-15 Hitachi Ltd 試料台の温度制御方法及び装置と試料処理方法及び装置
JP2004533718A (ja) * 2001-04-30 2004-11-04 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2152126A (en) * 1936-10-02 1939-03-28 John Wentworth Heating device
US3634740A (en) * 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US4361749A (en) * 1980-02-04 1982-11-30 Western Electric Co., Inc. Uniformly cooled plasma etching electrode
US4518848A (en) * 1981-05-15 1985-05-21 Gca Corporation Apparatus for baking resist on semiconductor wafers
JPS6129127A (ja) 1984-07-20 1986-02-10 Hitachi Hokkai Semiconductor Kk 処理装置
JPH079887B2 (ja) 1985-05-22 1995-02-01 三洋電機株式会社 液相エピタキシヤル成長方法
JPH0310443U (ja) 1989-06-19 1991-01-31
US5059770A (en) * 1989-09-19 1991-10-22 Watkins-Johnson Company Multi-zone planar heater assembly and method of operation
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
JP3238925B2 (ja) * 1990-11-17 2001-12-17 株式会社東芝 静電チャック
JPH04196528A (ja) * 1990-11-28 1992-07-16 Toshiba Corp マグネトロンエッチング装置
JPH04360526A (ja) 1991-06-07 1992-12-14 Nec Corp 微細パターン形成方法
US5580607A (en) * 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
US5294778A (en) * 1991-09-11 1994-03-15 Lam Research Corporation CVD platen heater system utilizing concentric electric heating elements
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
JP2906006B2 (ja) * 1992-10-15 1999-06-14 東京エレクトロン株式会社 処理方法及びその装置
US5413360A (en) * 1992-12-01 1995-05-09 Kyocera Corporation Electrostatic chuck
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JPH06283594A (ja) 1993-03-24 1994-10-07 Tokyo Electron Ltd 静電チャック
JPH06295888A (ja) 1993-04-09 1994-10-21 Sony Corp 半導体装置の製造方法
US5591269A (en) * 1993-06-24 1997-01-07 Tokyo Electron Limited Vacuum processing apparatus
TW277139B (ja) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
JPH07201822A (ja) 1993-12-28 1995-08-04 Hiroshima Nippon Denki Kk ドライエッチング装置
JP2647799B2 (ja) * 1994-02-04 1997-08-27 日本碍子株式会社 セラミックスヒーター及びその製造方法
JP3247249B2 (ja) 1994-05-12 2002-01-15 東京エレクトロン株式会社 プラズマ処理装置
JP3208029B2 (ja) * 1994-11-22 2001-09-10 株式会社巴川製紙所 静電チャック装置およびその作製方法
JPH08191059A (ja) * 1995-01-09 1996-07-23 Hitachi Ltd プラズマ処理装置
US5671116A (en) 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JP3548634B2 (ja) 1995-07-14 2004-07-28 東京エレクトロン株式会社 成膜装置及びこの装置における堆積膜除去方法
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
JPH09260474A (ja) 1996-03-22 1997-10-03 Sony Corp 静電チャックおよびウエハステージ
KR100280634B1 (ko) * 1996-05-05 2001-02-01 세이이치로 미야타 전기 발열체 및 이를 이용한 정전 척
JPH10256359A (ja) 1997-03-08 1998-09-25 Seiichiro Miyata 静電チャック
JP3537269B2 (ja) * 1996-05-21 2004-06-14 アネルバ株式会社 マルチチャンバースパッタリング装置
JPH1014266A (ja) * 1996-06-21 1998-01-16 Sony Corp 静電チャック装置及び静電チャックを用いたウエハの保持方法及び静電チャックからのウエハの脱着方法
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
JP3665826B2 (ja) * 1997-05-29 2005-06-29 Smc株式会社 基板熱処理装置
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
JP3318514B2 (ja) * 1997-08-06 2002-08-26 日本碍子株式会社 半導体支持装置
US6084215A (en) * 1997-11-05 2000-07-04 Tokyo Electron Limited Semiconductor wafer holder with spring-mounted temperature measurement apparatus disposed therein
JP3400692B2 (ja) 1997-11-05 2003-04-28 東京エレクトロン株式会社 ウエハ温度制御装置及びウエハ収納室
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
JP3477062B2 (ja) * 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
JP4013386B2 (ja) * 1998-03-02 2007-11-28 住友電気工業株式会社 半導体製造用保持体およびその製造方法
US6020262A (en) * 1998-03-06 2000-02-01 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6259592B1 (en) * 1998-11-19 2001-07-10 Applied Materials, Inc. Apparatus for retaining a workpiece upon a workpiece support and method of manufacturing same
JP2000216140A (ja) 1999-01-20 2000-08-04 Hitachi Ltd ウエハステ―ジおよびウエハ処理装置
JP2001102436A (ja) 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
JP2000332089A (ja) * 1999-05-18 2000-11-30 Toshiba Ceramics Co Ltd ウエハ加熱保持用静電チャック
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
JP2001203257A (ja) * 2000-01-20 2001-07-27 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体
JP2002057207A (ja) * 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
JP3228924B2 (ja) * 2000-01-21 2001-11-12 イビデン株式会社 半導体製造・検査装置用セラミックヒータ
US6472643B1 (en) * 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
JP2001313155A (ja) * 2000-04-28 2001-11-09 Kyocera Corp 円盤状ヒータおよびウエハ処理装置
JP2002110647A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
JP2002231804A (ja) 2001-01-31 2002-08-16 Toshiba Corp 半導体装置及びその製造方法
JP4578701B2 (ja) * 2001-02-26 2010-11-10 キヤノンアネルバ株式会社 基板処理方法
JP2002313901A (ja) 2001-04-12 2002-10-25 Komatsu Ltd 静電チャック
US6847014B1 (en) * 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
JP2002359281A (ja) 2001-06-01 2002-12-13 Ngk Spark Plug Co Ltd セラミックヒータ及びその製造方法
JP2003060016A (ja) 2001-07-31 2003-02-28 Applied Materials Inc 電流導入端子及び半導体製造装置
US6538872B1 (en) * 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20040163601A1 (en) 2003-02-26 2004-08-26 Masanori Kadotani Plasma processing apparatus
US6770852B1 (en) * 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
KR101214520B1 (ko) * 2005-04-26 2012-12-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 발광장치 및 그 구동방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144655A (ja) * 1996-11-06 1998-05-29 Sony Corp ドライエッチング処理方法及びドライエッチング装置
JPH11243077A (ja) * 1997-12-26 1999-09-07 Hitachi Ltd プラズマ処理方法およびプラズマ処理装置
JP2002009140A (ja) * 2000-06-22 2002-01-11 Mitsubishi Electric Corp 静電チャック装置
JP2002076103A (ja) * 2000-08-23 2002-03-15 Hitachi Ltd 試料台の温度制御方法及び装置と試料処理方法及び装置
JP2004533718A (ja) * 2001-04-30 2004-11-04 ラム リサーチ コーポレイション ワーク支持体の表面を横切る空間温度分布を制御する方法および装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016072477A (ja) * 2014-09-30 2016-05-09 日本特殊陶業株式会社 静電チャック

Also Published As

Publication number Publication date
TWI481297B (zh) 2015-04-11
WO2006068805A1 (en) 2006-06-29
CN102122607A (zh) 2011-07-13
US9824904B2 (en) 2017-11-21
SG10201609601XA (en) 2016-12-29
SG10201408008QA (en) 2015-01-29
SG158101A1 (en) 2010-01-29
JP2011244011A (ja) 2011-12-01
US8963052B2 (en) 2015-02-24
CN102122607B (zh) 2013-03-20
WO2006068805A9 (en) 2006-08-24
US20090215201A1 (en) 2009-08-27
TW200633567A (en) 2006-09-16
CN101111934A (zh) 2008-01-23
US20150187619A1 (en) 2015-07-02
KR20070088758A (ko) 2007-08-29
KR101109440B1 (ko) 2012-01-31
US20050211385A1 (en) 2005-09-29
JP2008522446A (ja) 2008-06-26

Similar Documents

Publication Publication Date Title
KR101109440B1 (ko) 공간 온도 분포를 제어하기 위한 방법 및 장치
JP4549022B2 (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
JP5388704B2 (ja) ワーク支持体の表面を横切る空間温度分布を制御する方法および装置
US11302556B2 (en) Apparatus for spatial and temporal control of temperature on a substrate
KR20110083979A (ko) 플라즈마 처리 장치
KR20010017702A (ko) 웨이퍼 온도 조절장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141215

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150511