KR20070105828A - 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 - Google Patents

이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 Download PDF

Info

Publication number
KR20070105828A
KR20070105828A KR1020060129234A KR20060129234A KR20070105828A KR 20070105828 A KR20070105828 A KR 20070105828A KR 1020060129234 A KR1020060129234 A KR 1020060129234A KR 20060129234 A KR20060129234 A KR 20060129234A KR 20070105828 A KR20070105828 A KR 20070105828A
Authority
KR
South Korea
Prior art keywords
substrate
ceramic puck
ceramic
puck
electrostatic chuck
Prior art date
Application number
KR1020060129234A
Other languages
English (en)
Other versions
KR101380879B1 (ko
Inventor
알렉산더 마츄쉬킨
데니스 쿠사우
테오도로스 파나고포우로스
존 홀랜드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38769279&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20070105828(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070105828A publication Critical patent/KR20070105828A/ko
Application granted granted Critical
Publication of KR101380879B1 publication Critical patent/KR101380879B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척은 기판 수용면 및 서로 이격된 복수의 메사를 갖춘 대향 후면을 구비한 세라믹 퍽을 포함한다. 전극은 기판을 유지하기 위한 정전기력을 발생시키도록 세라믹 퍽 내에 매설된다. 세라믹 퍽의 중앙부와 주변부에 위치되는 히터 코일들은 세라믹 퍽의 중앙부와 주변부의 독립적인 온도 제어를 가능하게 한다. 척은 공기를 제공하는 홈을 갖춘 기저부에 의해 지지된다. 척과 기저부는 챔버 내의 기판에 대한 온도 프로파일의 조정을 가능하게 하도록 협력한다.

Description

이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 {SUBSTRATE SUPPORT WITH ELECTROSTATIC CHUCK HAVING DUAL TEMPERATURE ZONES}
도 1은 정전기 척의 실시예를 도시하는 개략적인 측면도.
도 2는 정전기 척의 실시예를 도시하는 개략적인 저면도.
도 3은 광학 온도 센서를 도시하는 개략적인 측면도.
도 4a 및 도 4b는 기판 및 정전기 척을 포함하는 기판 지지대의 실시예를 도 각각 도시하는 개략적인 상부 사시도(도 4a) 및 하부 사시도(도 4b).
도 5a는 도 4a 및 도 4b의 기판 지지대 상의 링 조립체를 도시하는 개략적인 단면도.
도 5b는 도 5a의 링 조립체의 상세도.
도 6은 기저부의 전기 커넥터 조립체의 실시예를 도시하는 개략적인 측면도.
도 7은 접촉 밴드의 실시예를 도시하는 개략적인 단면도.
도 8은 기판 지지대를 갖춘 기판 처리 챔버의 실시예를 도시하는 개략적인 측면도.
본 발명은 기판 처리 챔버 내에 기판을 유지하는 기판 지지대에 관한 것이다.
반도체 및 디스플레이와 같은 기판의 처리에 있어서, 기판 상에 층을 피복 처리하기 위해 기판을 챔버 내에 유지시키는데 정전기 척이 사용된다. 통상적인 정전기 척은 세라믹으로 피복된 전극을 포함한다. 전극이 대전되면(electrically charged), 정전하들이 전극과 기판 상에 축적되며, 그 결과적인 정전기력에 의해 기판을 척에 유지시킨다. 통상적으로, 기판의 온도는 기판의 후면과 척의 표면 사이의 경계면에 있는 미세 간극에 걸쳐 열전달율을 개선할 수 있도록 기판 뒤에 헬륨 가스를 유지함으로써 제어된다. 정전기 척은 척을 냉각 또는 가열하도록 유체가 통행하는 채널을 갖춘 기저부에 의해 지지될 수 있다. 일단 기판이 척 상에 단단히 유지되면, 프로세스 가스가 챔버의 내측으로 유입되며 기판을 처리하도록 플라즈마가 형성된다. 기판은 CVD, PVD, 에칭, 임플란트(implant), 산화, 질화 또는 기타 공정들에 의해 처리된다.
처리 공정 중에, 기판은 종종, 기판 표면 전체에 걸쳐서 동심의 처리 밴드(processing band)를 유발할 수 있는 기판 표면 전체의 반경 방향으로 불균일한 처리량 또는 기타 처리 특성을 가질 수 있다. 그러한 불균일한 처리 공정 상의 특징들은 챔버 내부의 가스 종 또는 플라즈마 종의 분포에 의해 유발될 수 있다. 예를 들어, 챔버 전체에 걸친 가스의 분포는 기판 표면에 대한 챔버 내의 가스 입구 포트 및 출구 포트의 위치에 따라 변화될 수 있다. 물질 전달기구도 기판 표면의 상이한 영역 전체에 걸쳐서 가스 종의 분산과 도달 비율을 변경시킬 수 있다. 불 균일한 가공 처리율은 처리 챔버 내의 뷸균일한 열 부하로부터 유발될 수도 있다. 상이한 열 부하는 예를 들어, 챔버 벽으로부터 반사되는 복사열 또는 플라즈마 쉬쓰(sheath)로부터의 에너지가 기판에 균일하게 결합되는 것에 의해 발생될 수 있다. 기판 전체에 걸친 처리율의 변동은 기판의 상이한 영역, 예를 들어 기판의 주변 및 중심 영역에서 제작될 능동 및 수동 전자 장치가 상이한 특성을 갖도록 제작될 수 있기 때문에 바람직하지 않다.
따라서, 본 발명은 기판의 처리 공정 중에 기판 표면 전체에 걸쳐서 처리율과 기타 처리 특성의 변동들을 감소시키고자 하는 것이다. 또한, 본 발명은 기판 처리 표면의 전체에 걸친 상이한 영역에서 온도를 제어하고자 하는 것이다. 또한, 본 발명은 처리 공정 중에 기판 전체에 걸친 온도 프로파일을 제어하고자 하는 것이다.
본 발명의 전술한 특징, 특징 및 장점들은 본 발명의 예시적인 실시예들인 다음의 상세한 설명, 특허청구범위 및 첨부 도면과 관련하여 더욱 양호하게 이해될 것이다. 그러나, 각각의 특징들은 일반적인 것이며 특정 도면의 내용에 한정되는 것이 아니라고 이해해야 하며, 본 발명은 이들 특징들의 어떠한 조합도 포함한다.
정전기 척(20)의 실시예는 도 1에 도시한 바와 같이, 기판(25)을 유지하는 역할을 하며 세라믹 퍽(24)의 상부인 기판 수용면(26)을 갖는 세라믹 퍽(24)을 포함한다. 세라믹 퍽(24)은 또한 기판 수용면(26)과 대향하는 후면(28)을 가진다. 세라믹 퍽(24)은 제 1 계단부(31) 및 제 2 계단부(33)를 갖는 주변 릿지(29)를 가진다. 세라믹 퍽(24)은 알루미늄 산화물, 알루미늄 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 티타늄 산화물, 지르코늄 산화물, 및 이들의 혼합물 중의 하나 이상을 포함한다. 세라믹 퍽(24)은 세라믹 분말을 압축 및 소결하고 나서, 퍽(24)의 최종 형상을 갖도록 소결체를 기계 가공함으로써 형성되는 단일 모노리스의 세라믹일 수 있다.
세라믹 퍽(24)의 후면(28)은 복수의 이격된 메사(30; mesa)를 가진다. 일 실시예에서, 상기 메사(30)는 복수의 간격(32)만큼 서로 이격되어 있는 원통형 마운드이다. 사용시, 간극(32)은 공기와 같은 가스로 채워져서 후면(28)으로부터 기저부의 상부면으로의 열 전달률을 조정한다. 일 실시예에서, 상기 메사(30)는 후면(28)으로부터 연장하고 포스트와 같은 형상일 수 있는 원통형 마운드를 포함하며, 상기 포스트는 장방형 또는 원통형 단면 형상을 가진다. 상기 메사(30)의 높이는 약 10 내지 약 50 μ이며, 상기 메사(30)의 직경(또는 폭)은 약 500 내지 약 5000 μ일 수 있다. 그러나, 상기 메사(30)는 다른 형상 및 크기, 예를 들어 원추형 또는 장방형 블록, 또는 다양한 크기의 범프일 수 있다. 일 실시예에서, 상기 메사(30)는 간극(32)을 갖는 메사(30)를 형성하기 위해 후면(28)의 재료를 부식에 의해 에칭시키도록, 실질적으로 작은, 예를 들어 수십 μ정도의 비드 크기를 갖도록 후면(28)을 비드 브래스팅함으로써 형성된다.
세라믹 퍽(24)은 기판 수용면(26) 상에 놓여진 기판을 유지하기 위한 정전기력을 발생시키는 내부에 함침된 전극(36)도 포함한다. 전극(36)은 금속과 같은 전 도체이며 단극 또는 양극 전극(34: bipolar electrode)으로서 성형된다. 단극 전극은 단일 반도체를 포함하며 외부 전원에 대한 단일 전기 접점을 가지며 척(20) 상에 유지된 기판 전체에 걸친 전기 바이어스를 가하도록 챔버 내에 형성된 상부 플라즈마(overlying plasma)의 하전 종(charged species)과 협력한다. 양극 전극은 기판을 유지하기 위한 정전기력을 발생시키도록 다른 것에 대해 각각 바이어스되는 두 개 이상의 전도체를 가진다. 전극(36)은 적합한 절취부 영역을 갖는 금속 판 또는 와이어 메쉬와 같은 형상이다. 예를 들어, 단극 전극을 포함하는 전극(36)은 도시된 바와 같이 세라믹 퍽 내에 함침된 연속적인 단일 와이어 메쉬일 수 있다. 양극 전극을 포함하는 전극(36)의 실시예는 C형상의 직선 레그를 가로질러 서로 대향하는 충전된 한 쌍의 C형상 평판일 수 있다. 전극(36)은 알루미늄, 구리, 철, 몰리브덴, 티타늄, 텅스텐, 또는 이들의 합금으로 구성될 수 있다. 전극(36)의 일 실시예는 몰리브덴 메쉬이다. 전극(36)은 전력을 외부 전원(230)으로부터 전극(36)으로 공급하는 터미널 포스트(58)에 연결되며, 상기 외부 전원으로는 DC 전원, 및 선택적으로 RF 전원일 수 있다.
세라믹 퍽(24)은 세라믹 몸체를 횡단하며 열전달 가스를 기판 수용면(26)에 제공하도록 기판 수용면(26) 상의 포트(40a,b)에서 종결되는 복수의 열전달 가스 도관(38a,b)을 가진다. 예를 들어, 헬륨일 수 있는 열전달 가스는 기판 후면(34) 아래로 공급되어서 상부 기판(25)과는 별도로 세라믹 퍽(24)의 수용면(26)으로 열을 전달한다. 예를 들어, 제 1 가스 도관(38a)은 열전달 가스를 기판 수용면(26)의 중앙 가열 영역(42a)으로 공급하도록 위치될 수 있으며, 제 2 가스 도관(38b)은 열전달 가스를 기판 수용면(26)의 주변 가열 영역(42b)으로 공급하도록 위치될 수 있다. 세라믹 퍽(24)의 기판 수용면(26)의 중앙 및 주변 가열 영역(42a,b)은 기판면(44)의 대응 부분, 예를 들어 기판(25)의 상부 중앙 영역 및 주변 영역(46a,b)이 상이한 온도에서 유지될 수 있게 한다.
세라믹 퍽(24)의 기판 수용면(26)의 중심 및 주변 가열 영역(42a,42b)에서의 온도는 복수의 히터 코일(50,52), 예를 들어 세라믹 퍽(24) 내에 매설된 제 1 히터 코일(50) 및 제 2 히터 코일을 사용하여 더욱 더 제어된다. 예를 들어, 히터 코일(50,52)은 서로에 대해 동심이며 반경 방향으로 이격될 수 있다. 일 실시예에서, 제 1 히터 코일(50)은 세라믹 퍽(24)의 중심부(54a)에 위치되고 제 2 히터 코일(52)은 세라믹 퍽(24)의 주변부(54b)에 위치된다. 제 1 및 제 2 히터 코일(50,52)은 세라믹 퍽(24)의 중심 및 주변부(54a,54b)의 온도를 독립적으로 제어할 수 있게 하며 세라믹 퍽(24)의 후면(28) 상에 있는 메사(30)와도 협력하여 세라믹 퍽(24)의 수용면(26) 상에 놓여지는 기판(25)의 온도 프로파일을 조정할 수 있게 한다.
각각의 히터 코일(50,52)은 가열 영역(42a,b)의 온도를 독립적으로 제어하여 기판(25) 처리면(44)의 반경 방향에 걸쳐서 상이한 처리율 또는 처리 특성을 달성할 수 있는 능력을 제공한다. 그와 같이, 상이한 온도가 두 개의 가열 영역(42a,b)에서 유지되어 기판(25)의 상부 중앙 및 주변부(46a,b)의 온도에 영향을 끼쳐서 기판(25)의 처리 공정 중에 발생하는 다수의 가스 종의 분포 또는 열 부하와 반작용하게 된다. 예를 들어, 기판(25)의 처리면(44)의 주변부(46b)에 있는 가 스 종이 중앙부(46b)에 있는 것보다 덜 활성적일 때, 부변 가열 영역(42b)의 온도는 중앙 가열 영역(42a) 보다 높은 온도로 상승하여 기판(25)의 처리면(44)에 걸쳐서 더욱 균일한 처리비율 또는 처리 특성을 제공한다.
일 실시예에서, 제 1 및 제 2 히터 코일(50,52)은 실질적으로 동일한 평면 내에 나란히 배열되는 원형 루프의 저항식 가열 소자를 각각 포함한다. 예를 들어, 히터 코일(50,52)은 각각, 세라믹 척(24)의 몸체 내에 내측 반경 방향으로 점차적으로 나선을 이루고 있는 연속적인 동심 루프일 수 있다. 히터 코일(50,52)도 세라믹 퍽(24)의 내측 공간을 가로지르는 동심의 원들 내에 위치되는, 코일의 중심부를 통과하는 축선 주위에서 나선을 이루는, 예를 들어 전구 필라멘트와 같은 나선형 코일일 수 있다. 저항식 가열 소자는 예를 들어, 텅스텐 또는 몰리브덴과 같은 상이한 전기 저항 재료로 구성될 수 있다. 일 실시예에서, 히터 코일(50,52)은 각각 세라믹 퍽(24)의 기판 수용면(26)의 온도를 약 80 내지 약 250℃로 신속히 상승시키고 유지시키기에 충분한 전기 저항을 가진다. 이러한 실시예에서, 코일의 전기 저항은 약 4 내지 약 12 Ω이다. 일 실시예에서, 제 1 히터 코일(50)은 6.5 Ω의 전기 저항을 가지며 제 2 히터 코일(52)은 8.5 Ω의 전기 저항을 가진다. 히터 코일(50,52)은 세라믹 퍽(24)을 통해 연장하는 독립적인 터미널 포스트(58a-d)를 경유하여 전력이 공급된다.
히터 코일(50,52)과 관련하여, 열 전달 가스의 압력은 두 개의 가열 영역(42a,b)에서 제어되어서 기판 처리율을 기판(25) 전체에 걸쳐서 더욱 균일하게 한다. 예를 들어, 두 개의 영역(42a,b)에서는 각각, 상이한 압력에서 열 전달 가 스를 유지하여 기판(25)의 후면(34)으로부터 상이한 열 전달률을 제공할 수 있도록 설정될 수 있다. 이는 기판 수용면(26)의 두 개의 상이한 위치를 이탈하도록 각각 두 개의 도관(38a,38b)을 통해 상이한 압력으로 열 전달 가스를 공급함으로써 달성된다.
정전기적 척(20)도 세라믹 퍽(24) 내에, 구멍(62a,b)을 통과하는 광학 온도 센서(60a,b)를 포함함으로써, 기판(25)의 상부 중앙 및 주변부(46a,b)와 접촉하여 이들 부분의 온도를 측정한다. 제 1 센서(60a)는 세라믹 척(24)의 중앙 가열 영역(42a)에 위치되어 기판(25)의 중앙부(46a)의 온도를 판독하며, 대응하는 제 2 센서(60b)는 세라믹 척(24)의 주변 가열 영역(42b)에 위치되어 기판(25)의 주변부(46b)에 있는 온도를 판독한다. 광학 온도 센서(60a,b)는 척(20) 내에 위치되어서, 센서 선단부(64a,b)가 척(20) 상에 유지되는 기판(25)의 후면과 접촉하도록 센서의 선단부(64a,b)가 세라믹 척(24)의 기판 수용면(26)과 일직선상에 놓이게 된다. 센서(60a,b)의 레그(66a,b)가 세라믹 퍽(24)의 몸체를 통해 수직으로 연장한다.
도 3에 도시한 바와 같은 일 실시예에서, 각각의 광학 온도 센서(60)는 선단부(64)로서의 역할을 하는 측면(72) 및 돔형 상부(74)를 갖춘 밀폐형 실린더와 같은 형상의 구리 캡(70)을 포함하는 열 센서 탐침(68)을 포함한다. 구리 캡(70)은 무산소 동 재료로 구성될 수 있다. 다공성 플러그(76)는 구리 캡(70)의 상부(74)와 직접 접촉하며 캡의 상부 내측에 매설된다. 구리 캡(70) 내에 매설되는 다공성 플러그(76)는 열 감지 탐침(68)에 보다 신속하고 보다 민감한 열 응답을 제공한다. 구리 캡(70)의 선단부(64)는 기판을 부식 또는 손상시킴이 없이 상이한 기판(25)과의 반복된 접촉을 가능하게 하는 돔형 상부(74)이다. 구리 캡(70)은 캡(70)을 센서 탐침(68)에 고정시키기 위한 에폭시(79)를 수용하는 오목한 홈(78)이다.
다공성 플러그(76)는 적외선 형태의 열을 광섬유 번들(80)을 통과하는 양자로 전환시킨다. 광섬유 번들(80)은 붕규산 유리섬유로 구성될 수 있다. 광 섬유 번들(80)은 세라믹 퍽을 지지하는 기저부의 열로부터 온도 센서를 격리시키는 역할을 하는 온도 절연 재킷(84)에 의해 차례로 부분적으로 에워싸이는 슬리브(82)에 의해 케이스화 된다. 슬리브(82)는 주위 구조로부터 보다 양호한 열 절연을 제공하는 유리 튜브일 수 있으나, 구리와 같은 금속으로 제조될 수도 있다. 온도 절연 재킷(84)은 피크(PEEK), 폴리에테르에테르케톤으로 구성될 수 있으며, 미국 델라웨어주 소재의 듀퐁 드 느무르 컴파니로부터의 테플론(등록 상표;폴리테트라플루오로에틸렌)일 수도 있다.
정전기 척(20)을 포함하는 기판 지지대(90)는 척(20)을 지지하고 고정할 뿐만 아니라 척을 냉각시키는데 사용되는 냉각제 기저부(91)에 고정된다(도 4a 및 도 4b). 기저부(91)는 척 수용부(96) 및 주변부(98)를 갖는 상부면(94)을 갖춘 금속 몸체(92)를 포함한다. 상부면(94)의 척 수용부(96)는 정전기 척(20)의 세라믹 퍽(24)의 후면(28)을 수용하도록 구성된다. 기저부(91)의 주변부(98)는 세라믹 퍽(24)을 넘어 외측 반경 방향으로 연장한다. 기저부(91)의 주변부(98)는 기저부의 주변부의 상부면에 고정될 수 있는 클램프 링(100)을 수용하도록 구성된다. 기저부(91)의 금속 몸체(92)는 예를 들어, 터미널(58a-d) 또는 공급 가스를 세라믹 퍽(24)의 가스 도관(38a,b)에 유지하기 위해, 기저부의 바닥면(104)으로부터 기저부(91)의 상부면(94)으로 연장하는 다수의 통로(102)를 가진다.
기저부(91) 상부면(94)의 척 수용부(96)는 세라믹 척(20)의 후면에 걸쳐 공기를 유지하고 유동시키기 위한 하나 이상의 홈(106a,b)을 포함한다. 일 실시예에서, 척 수용부(96)는 세라믹 퍽(24)의 주변부(54b)로부터의 열 전달률을 제어하도록 세라믹 퍽(24)의 후면(28) 상에 있는 복수의 메사(30)와 협력하는 주변 홈(106a)을 포함한다. 다른 실시예에서, 기저부의 척 수용면은 퍽 후면의 메사 주위에 공기를 유지하기 위한 주변 홈을 포함한다. 또 다른 실시예에서, 중앙 홈(106b)은 세라믹 퍽(24)의 중앙부(54a)로부터의 열 전달을 조정하도록 주변 홈(106a)과 연관되어 사용된다.
기저부(91)의 상부면(94) 내에 있는 홈(106a,b)은 기판 처리면(44) 전체에 걸친 온도를 더욱 더 조절하도록 세라믹 퍽(24)의 후면(28) 상에 있는 메사(30)와 협력한다. 예를 들어, 메사(30)의 형상, 크기 및 간격은 경계면의 총 열전달 면적을 제어함으로써 기저부(91)의 상부면(94)과 메사(30)의 총 접촉면의 양을 제어한다. 예를 들어, 메사(30)는 세라믹 퍽(24)의 후면(28)의 전체 면적의 약 50% 이하, 예를 들어, 30%가 기저부(91)의 상부면(94)과 실제로 접촉될 수 있는 형상과 크기를 가진다. 접촉 면적이 작으면 작을수록 기판 처리면(44) 전체의 온도는 더 높다. 또한, 추가의 온도 조절기로서의 역할을 하는 공기가 메사(30)들 사이와 후면(28) 전체에 걸쳐서 제공된다.
세라믹 퍽(24)의 후면(24) 상에 있는 메사(30)는 후면(28) 전체에 균일 또는 불균일한 패턴으로 분포될 수 있다. 균일한 패턴에서, 간극(32)에 의해 표시한 메사(30) 사이의 거리는 실질적으로 균일하게 유지되며, 불균일한 패턴에서 상기 간극 사이의 거리는 후면(28) 전체에 걸쳐서 변화한다. 메사(30)의 형상과 크기도 후면(28) 전체에 걸쳐서 변화하도록 제조될 수 있다. 예를 들어, 메사(30)의 불균일한 패턴은 퍽(24)의 중심 및 주변부(54a,b) 각각으로부터의 열전달률 및 상부 기판(25)의 중심 및 주변부(46a,b)에서의 온도를 제어하기 위해, 상이한 영역에서 세라믹 퍽(24)의 후면(28) 전체에 걸쳐서 상이한 양의 접촉면을 제공하도록 배열될 수 있다.
기저부(91)도 물과 같은 유체를 순환시키기 위한 복수의 채널(110)을 포함한다. 순환 냉각 유체를 갖는 기저부(91)는 척(20)의 온도를 제어하여 기판(25)의 처리면 전체에 걸쳐 소정의 온도를 달성하는 역할을 한다. 채널을 통과하는 유체는 척(28)의 온도 및 척(28) 상에 유지된 기판(25)의 온도를 상승 또는 하강시키도록 가열 또는 냉각될 수 있다. 일 실시예에서, 채널(110)은 유체가 통행될 수 있어서 약 0 내지 120 ℃의 온도로 기저부(91)를 유지할 수 있는 형상 및 크기로 되어 있다.
기저부(91)는 전력을 정전기 척(20)의 전극(36)에 전달하기 위한 전기 터미널 조립체(120)도 포함한다. 전기 터미널 조립체(120)는 세라믹 절연 재킷(124)을 포함한다. 세라믹 절연 재킷(124)은 예를 들어, 알루미늄 산화물일 수 있다. 복수의 터미널 포스트(58)는 세라믹 절연 재킷(124) 내에 매설된다. 터미널 포스트(58,58a-d)는 전력을 전극(36) 및 정전기 척(20)의 히터 코일(50,52)에 공급한 다. 예를 들어, 터미널 포스트(58)는 구리 포스트를 포함할 수 있다.
접촉 밴드(140)는 도 7에 도시한 바와 같이, 전기 터미널 조립체(141)의 터미널 포스트(58,58a-d)를 에워쌀 수 있는 형상이다. 각각의 접촉 밴드(140)는 예를 들어, 구리 합금과 같은 금속을 포함한다. 접촉 밴드(140)의 구조체는 터미널 포스트(58) 주위에 끼워 맞춰지도록 구성되는 케이싱(142)을 포함한다. 케이싱(142)의 형상은 포스트(58)의 형상에 의존하며, 선택적으로 포스트(58)의 형상과 유사할 수 있다. 케이싱(142)의 일부 또는 스트립(146)은 복수의 슬릿 및 복수의 열 전달 구멍을 갖춘 밴드(144)를 포함하며, 상기 슬릿은 슬릿과 교대로 열전달 구멍이 형성되는 형태로 구성될 수 있다. 일 실시예에서, 복수의 슬릿 및 열 전달 구멍은 스트립(146)의 상부 에지(152)로부터 스트립(146)의 바닥 에지(154) 또는 케이싱(142)의 일부분으로 연장된다. 복수의 슬릿과 열전달 구멍은 케이싱(142)의 강도를 감소시키고 터미널 포스트(58) 또는 터미널의 외측 표면 주위와 일치할 수 있는 스트링과 같은 특징을 형성한다. 케이싱(142)의 스트립(146) 상의 복수의 슬릿 구성은 스프링 형태의 특징을 통해서, 터미널 포스트(58)가 케이싱(142)의 내측 노출면(143)의 실제 영역과 접촉되게 한다. 이는 접촉 밴드(140)와 터미널 사이의 적합한 열전달을 가능하게 한다.
링 조립체(170)는 도 5a 및 도 5b에 도시한 바와 같이, 기저부(91)에 의해 지지되는 정전기 척(20)을 포함하는 기판 지지대(90)의 주변 영역을 부식으로부터 보호하고 상기 주변 영역 상의 공정 부산물의 형성을 감소시키는데 제공될 수 있다. 링 조립체(170)는 스크류 또는 볼트(도시 않음)와 같은 고정 수단에 의해 기 저부(91)의 상부면(94)의 주변부(98)에 고정되는 클램프 링(100)을 포함한다. 클램프 링(100)은 상부면(174) 및 외측면(176)을 내측 반경 방향으로 횡단하여 연장하는 립(172)를 가진다. 립(172)는 세라믹 퍽(24), 상부면(174) 및 외측면(176)과의 기밀 밀봉(gas-tight seal)을 형성하도록 세라믹 퍽(24)의 주변 릿지(29)의 제 1 계단부(31) 상에 놓이는 하부면(173)을 가진다. 일 실시예에서, 하부면(173)은 양호한 기밀 밀봉을 형성하도록 예를 들어, 폴리이미드를 포함하는 폴리머 층(179)을 포함한다. 클램프 링(100)은 플라즈마에 의한 부식에 저항할 수 있는 재료, 예를 들어 스테인레스 스틸, 티타늄 또는 알루미늄과 같은 금속 재료, 또는 알루미늄 산화물과 같은 세라믹 재료로 제작된다.
링 조립체도 클램프 링(100)의 상부면 상에 놓이는 푸트(184:foot)를 갖는 밴드(182)를 포함하는 에지 링(180)을 포함한다. 에지 링도 클램프 링(100) 상의 스퍼터링 부산물의 증착을 감소시키거나 전체적으로 배제하기 위해서 처리 환경에 노출되는 클램프 링(100)의 외측면(176)을 에워싸는 환형 외벽(186)을 가진다. 에지 링(180)도 세라믹 퍽의 수용면 상에 유지되는 기판의 상부 에지에 대한 밀봉을 형성하도록 세라믹 퍽(29)의 주변 릿지(29)의 제 2 계단부(33)를 덮고 있는 플랜지(190)를 포함한다. 플랜지(190)는 기판(25)의 오버행 에지(196) 아래에서 종결되는 돌기를 포함한다. 플랜지(190)는 처리 공정 중에 기판에 의해 덮여 있지 않은 세라믹 퍽(24)의 영역을 보호하도록 기판(25)의 주변을 에워싸는 링(190)의 내측 주변부를 한정한다. 클램프 링(100)과 링 조립체(170)의 에지 링(180)은 처리 챔버 내의 기판(25)을 처리하는 동안에 기저부(91) 상에 지지되는 정전기 척(20) 상에 처리 부산물이 형성되는 것을 감소시키고 정전기 척의 부식을 보호하도록 협력한다. 에지 링(180)은 활성화된 플라즈마 종에 의한 부식을 감소시키도록 기판 지지대(90)의 노출 측면을 보호한다. 링 조립체(170)는 링(100,180)의 노출면으로부터의 부산물을 세정하도록 용이하게 제거될 수 있어서 전체 기판 지지대(90)는 세정을 위해 분해되지 않아도 된다. 에지 링(180)은 예를 들어 석영과 같은 세라믹을 포함한다.
정전기 척(20) 및 기저부(91)를 포함하는 기판 지지대(90)는 기판 처리 장치(200)에 사용되며, 그 예시적인 실시예가 도 8에 도시되어 있다. 상기 장치(200)는 외벽(202)을 포함하는 챔버(201)를 포함하며, 일 실시예에서 챔버는 DPS 어드밴테이지 챔버(Advantage chamber)이다. 가스 공급원(204)은 프로세스 가스를 가스 구멍(203)을 통해 챔버로 제공하며, 염화물 또는 수소 염화물을 포함하는 할로겐 함유 가스와 같은 에칭 가스, 예를 들어 유전체 또는 반도체 재료를 증착시키기 위한, CVD 또는 PVD 가스와 같은 증착가스를 포함하는 상기 프로세스 가스가 기판을 처리할 수 있다. 가스 에너자이저(208)는 용량 또는 유도 결합 RF 에너지를 프로세스 가스에 각각 제공하거나 초단파 에너지를 프로세스 가스(도시 않음)에 전달하여 기판(25)을 처리하기 위한 활성화된 가스를 형성하도록 제공된다. 예를 들어, 프로세스 가스는 전극 전원(230)을 경유하여 정전기 척(24)의 전극(36)에 RF 전압을 인가하고 챔버(201)의 벽(202)을 전기적으로 접지함으로써 용량적으로 활성화될 수 있다. 전극 전원(230)도 기판(25)을 전기적으로 유지하도록 척(24)의 전극(36)을 대전시키기 위한 DC 척킹(chucking) 전압을 제공한다. 프로세스 가스도 유도 코일(205)을 경유하여 프로세스 가스에 유도 에너지를 결합시킴으로써 활성화될 수 있다. 이와는 달리, 프로세스 가스는 초단파 에너지를 원격 챔버(도시 않음) 내의 초단파 도관을 경유하여 프로세스 가스에 결합시킴으로써 활성화될 수 있다. 기판(25)은 챔버(201) 내부에 있는 기저부(91) 상의 정전기 척(20)의 수용면(26) 상에 유지된다.
챔버는 통상적으로, 메모리 및 주변 컴퓨터 부품에 연결되는, 미국, 캘리포니아, 산타클라라에 소재하는 인텔 코포레이션으로부터 상업적으로 이용가능한 펜티엄 프로세서와 같은 중앙 처리 유닛(CPU)을 갖는 컴퓨터(308)와 같은 제어기(212)에 의해 제어된다. 메모리는 CD 또는 플로피 드라이브와 같은 휘발성 저장장치, 하드 드라이브와 같은 비휘발성 저장장치, 및 랜던 어쎄스 메모리(RAM)을 포함할 수 있다. 제어기(212)는 아나로그 또는 디지털 입출력 보오드, 및 모터 제어기 보오드를 포함하는 하드웨어 인터페이스를 더 포함할 수 있다. 작동자는 디스플레이 또는 데이터 입력 장치를 통해서 챔버 제어기와 통신할 수 있다. 특정 스크린 또는 기능을 선택하기 위해 작동자는 키이보드 또는 라이트 펜과 같은 데이터 입력 장치를 사용하여 선택 사항을 등록시킬 수 있다.
제어기(212)는 챔버(212) 내에서 수행되는 공정들을 제어 및 모니터링할 수 있는 프로그램 코드를 포함하는, 메모리 내에 저장되는 컴퓨터 판독가능한 프로그램도 포함한다. 컴퓨터 판독가능한 프로그램은 임의의 종래의 컴퓨터 판독가능한 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다중 파일로 등록되며 메모리의 컴퓨터 사용가능한 매체 내에 저장될 수 있다. 등록된 코드 텍스트가 높은 수준의 언어라면, 코드는 압축되며, 그 압축된 컴파일러 코드가 미리 압축된 라이브러리 루틴의 목적 코드(object code)와 링크된다. 링크되고, 압축된 목적 코드를 실행하기 위해, 유저는 목적 코드를 불러내서 CPU가 그 목적 코드를 판독하고 실행하여 프로그램에서 확인된 업무를 수행한다. 그 프로그램은 예를 들어, 척(20)의 세라믹 퍽(24) 내에 있는 제 1 및 제 2 히터 코일(50,52)에 상이한 전력 값을 독립적으로 인가하고, 도관(38a,b)을 통해 열 전달 가스의 유동을 조절하고, 기저부(91)의 채널(110)을 통해 유체의 유동률을 제어함으로써, 상이한 영역(144,146)에서 온도를 제어하기 위한 온도 제어 명령어 세트를 포함할 수 있다. 프로세스 피이드백 제어 명령어 세트는 히터 코일(50,52)과 같은 챔버 부품들에 인가되는 전력, 도관(38a,b)을 통한 열 전달 가스의 유동, 및 기저부(91)의 채널을 통한 유체의 유동을 조절하기 위해, 광학 온도 센서(60a,b)로부터의 온도 신호를 수신하는 온도 모니터링 명령어 세트들 사이에서 피이드백 제어 루프로서의 역할을 할 수 있다. 업무 세트를 수행하기 위한 별도의 명령어 세트로서 설명하였지만, 이들 각각의 명령어 세트는 서로 통합될 수 있거나 중첩될 수 있기 때문에 본 발명에서 설명한 챔버 제어기(212) 및 컴퓨터 판독 가능한 프로그램은 본 발명에 설명한 기능적 루틴의 특정 실시예에만 한정되는 것이 아니다.
본 발명이 어떤 바람직한 실시예와 관련하여 상세히 설명되었지만, 다른 실시예들도 가능하다. 예를 들어, 기판 지지대, 냉각제 기저부, 및 온도 센서와 같은 장치의 부품은 본 발명에 설명된 것 이외의 다른 챔버와 다른 공정용으로도 사 용될 수 있다. 그러므로, 다음의 특허청구범위는 본 발명에 포함된 바람직한 실시예의 설명에만 국한되는 것이 아니라고 이해해야 한다.
세라믹 퍽의 중앙부와 주변부에 위치되는 히터 코일들에 의해 세라믹 퍽의 중앙부와 주변부의 독립적인 온도 제어가 가능해져서, 기판의 처리 공정 중에 기판 표면 전체에 걸쳐서 처리율과 기타 처리 특성의 변동들을 감소시키며, 기판 처리 표면의 전체에 걸친 상이한 영역에서 온도를 제어할 수 있으며, 처리 공정 중에 기판 전체에 걸친 온도 프로파일을 제어할 수 있다.

Claims (25)

  1. 프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척으로서,
    기판 수용면과 복수의 이격된 메사를 갖춘 대향 후면을 포함하는 세라믹 퍽과,
    열 전달 가스를 기판 수용면에 제공하도록 상기 세라믹 퍽의 몸체를 횡단하여 기판 수용면 상의 포트에서 종결되는 복수의 열 전달 가스 도관과,
    상기 기판 수용면 상에 놓인 기판을 유지하는 정전기력을 발생시키기 위해 세라믹 퍽 내에 매설되는 전극, 및
    상기 세라믹 퍽 내에 매설되는 제 1 및 제 2 히터 코일을 포함하며,
    상기 히터 코일은 서로 동심을 이루고 반경 방향으로 이격되어 있으며, 상기 제 1 히터 코일은 상기 세라믹 퍽의 주변부에 위치되고 상기 제 2 히터 코일은 상기 세라믹 퍽의 중심부에 위치됨으로써,
    상기 제 1 및 제 2 히터 코일은 상기 세라믹 퍽의 중심부와 주변부의 온도를 독립적으로 제어할 수 있으며 상기 세라믹 퍽의 후면 상에 있는 메사와 협력하여 상기 세라믹 퍽의 기판 수용면 상에 놓인 기판의 온도 프로파일을 조절할 수 있는,
    프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척.
  2. 제 1 항에 있어서,
    상기 제 1 및 제 2 히터 코일은,
    (ⅰ) 상기 히터 코일이 나란히 배열되는 특징과,
    (ⅱ) 상기 히터 코일이 실질적으로 동일 평면 상에 있는 특징과,
    (ⅲ) 상기 히터 코일 각각이 몰리브덴을 포함하는 저항식 가열 소자를 포함하는 특징과,
    (ⅳ) 상기 히터 코일 각각이 약 80 내지 약 250 ℃에서 상기 세라믹 퍽의 기판 수용면을 유지하는데 충분히 높은 전기 저항을 포함하는 특징들 중에 하나 이상을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척.
  3. 제 1 항에 있어서,
    상기 세라믹 퍽은 알루미늄 산화물, 알루미늄 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 티타늄 산화물, 지르코늄 산화물, 및 이들의 혼합물 중에 하나 이상을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척.
  4. 제 1 항에 있어서,
    상기 세라믹 퍽 상의 후면에 있는 복수의 메사는 원통형 마운드를 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척.
  5. 제 1 항에 있어서,
    상기 세라믹 퍽은 상기 세라믹 퍽 주위에 시일을 형성하는 클램프 링을 수용하는 주변 릿지를 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척.
  6. 제 1 항에 있어서,
    상기 세라믹 퍽 내에 매설되는 제 1 및 제 2 광학 온도 센서를 더 포함하며,
    상기 광학 온도 센서는,
    (ⅰ) 상기 제 1 광학 온도 센서는 세라믹 퍽의 중심부에 위치되고 상기 제 2 광학 온도 센서는 세라믹 퍽의 주변부에 위치되는 특징과,
    (ⅱ) 상기 광학 온도 센서가 구리 선단부에 매설되는 광학 섬유의 정면에 다공성 층을 포함하는 특징과,
    (ⅲ) 상기 광학 온도 센서 각각은 상기 세라믹 퍽을 지지하는 기저부의 열로부터 상기 온도 센서를 절연시키는 온도 절연 재킷을 포함하는 특징들 중에 하나 이상을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척.
  7. 기판 프로세싱 챔버 내에 기저부에 의해 지지되는 정전기 척 상의 프로세싱 부산물의 형성을 감소시키고 상기 정전기 척을 부식으로부터 보호하는 링 조립체로서,
    상기 정전기 척이 제 1 및 제 2 계단부를 갖춘 주변 릿지를 구비한 세라믹 퍽을 포함하며, 상기 기저부가 상기 정전기 척 수용부를 갖춘 상부면과 상기 정전기 척을 지나 연장하는 주변부를 포함하며, 상기 링 링 조립체가,
    상기 기저부의 상부면의 주변부에 고정될 수 있으며, 상기 세라믹 퍽과 상기 기저부의 상부면, 상부면, 및 외측면 사이에 기밀식 시일(gas-tight seal)을 형성하기 위해 상기 세라믹 퍽의 주변 릿지에 있는 상기 제 1 계단부에 놓여 지도록 내측 반경 방향으로 연장하는 립을 가지는 클램프 링, 및
    상기 클램프 링의 상부면에 놓여지는 푸트를 포함하는 밴드, 상기 클램프 링의 외측면을 에워싸는 환형 외측벽, 및 세라믹 퍽의 주변부 릿지에 있는 상기 제 2 계단부를 덮고 있는 플랜지를 포함하는 에지 링을 포함함으로써,
    상기 클램프 링과 상기 에지 링은 상기 기판 프로세싱 챔버 내의 기판을 처리하는 동안에 상기 기저부 상에 지지되는 상기 정전기 척 상의 프로세싱 부산물의 형성을 감소시키고 상기 정전기 척의 부식으로부터 보호하는,
    링 조립체.
  8. 제 7 항에 있어서,
    상기 릿지 링은 세라믹을 포함하는,
    링 조립체.
  9. 제 8 항에 있어서,
    상기 세라믹은 석영을 포함하는,
    링 조립체.
  10. 제 7 항에 있어서,
    상기 클램프 링은 알루미늄 또는 티타늄을 포함하는,
    링 조립체.
  11. 제 7 항에 있어서,
    상기 클램프 링의 립은 상기 세라믹 퍽의 주변 릿지에 있는 상기 제 1 계단부 상에 놓이는 하부면을 포함하며, 상기 하부면은 폴리머 층을 포함하는,
    링 조립체.
  12. 제 7 항에 있어서,
    상기 폴리머 층은 폴리이미드를 포함하는,
    링 조립체.
  13. 기판 프로세싱 챔버 내에 정전기 척을 지지하는 기저부로서,
    상기 정전기 척은 기판 수용면과 대향 후면을 포함하는 세라믹 퍽과, 열 전달 가스를 기판 수용면에 제공하도록 상기 세라믹 퍽의 몸체를 횡단하여 기판 수용면 상의 포트에서 종결되는 복수의 열 전달 가스 도관과, 정전기력을 발생시키기 위해 세라믹 퍽 내에 매설되는 전극, 및 상기 세라믹 퍽 내에 매설되는 제 1 및 제 2 히터 코일을 포함하며, 상기 기저부는,
    상기 세라믹 퍽의 후면을 수용하기 위한 척 수용부를 포함하는 상부면과 상기 세라믹 퍽을 지나 외측 반경 방향으로 연장하는 주변부를 가지는 금속 몸체와,
    상기 세라믹 퍽 내의 열 전달 가스 도관으로 열 전달 가스를 공급하는 열 전달 가스 통로와,
    유체를 내부로 순환시키는, 상기 금속 몸체 내의 복수의 유체 채널, 및
    상기 정전기 척의 전극으로 전력을 유도하는 전기 터미널 조립체를 포함하며,
    상기 척 수용면은 상기 세라믹 퍽의 후면 주위에 공기를 포함하기 위한 주변 홈을 포함하며,
    상기 전기 터미널 조립체는 세라믹 절연 재킷을 포함하며, 상기 절연 재킷 내에 매설되는 복수의 터미널 포스트는 상기 정전기 척의 전극과 히터 코일에 전력을 공급하며, 상기 각각의 터미널 포스는 금속을 포함하는 접촉 밴드에 의해 둘러싸여 있으며 복수의 열 전달 구멍을 가지는,
    기판 프로세싱 챔버 내에 정전기 척을 지지하는 기저부.
  14. 제 13 항에 있어서,
    상기 세라믹 퍽의 후면은 복수의 메사를 가지며, 상기 기저부의 척 수용면 상의 주변주 홈은 상기 세라믹 퍽의 주변부로부터의 열 전달률을 제어하도록 메사와 협력하는,
    기판 프로세싱 챔버 내에 정전기 척을 지지하는 기저부.
  15. 제 13 항에 있어서,
    상기 척 수용면은 상기 세라믹 퍽의 주변부로부터의 열 전달률을 제어하도록 메사와 협력하는 중앙 홈을 더 포함하는,
    기판 프로세싱 챔버 내에 정전기 척을 지지하는 기저부.
  16. 프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대로서,
    기판 수용면과 대향 후면, 및 계단부를 갖춘 주변 릿지를 포함하는 세라믹 퍽과; 열 전달 가스를 기판 수용면에 제공하도록 상기 세라믹 퍽의 몸체를 횡단하여 기판 수용면 상의 포트에서 종결되는 복수의 열 전달 가스 도관; 및 상기 기판 수용면 상에 놓인 기판을 유지하는 정전기력을 발생시키기 위해 세라믹 퍽 내에 매설되는 전극을 포함하는 정전기 척과,
    상기 세라믹 퍽의 후면을 수용하기 위한 척 수용부를 포함하는 상부면과 상기 세라믹 퍽을 지나 외측 반경 방향으로 연장하는 주변부를 가지는 금속 몸체를 포함하는 기저부와,
    상기 세라믹 퍽의 상기 수용면 상에 유지되는 기판의 상부 에지에 대한 시일을 형성하도록 상기 세라믹 퍽의 주변 릿지의 계단부 상에 놓이는 에지 링, 및
    상기 세라믹 퍽에 대한 기밀식 시일을 형성하기 위해 상기 세라믹 퍽의 주변 릿지 상에 놓이도록 내측 반경 방향으로 연장하는 립을 가지며 상기 기저부 상의 주변부에 고정되는 클램프 링을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  17. 제 16 항에 있어서,
    상기 에지 링은 세라믹을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  18. 제 17 항에 있어서, 상기 세라믹은 석영을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  19. 제 16 항에 있어서,
    상기 클램프 링은 알루미늄 또는 티타늄을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  20. 제 16 항에 있어서,
    상기 클램프 링의 립은 상기 세라믹 퍽과 접촉하는 하부면을 포함하며, 상기 하부면은 폴리머 층을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  21. 제 16 항에 있어서,
    상기 세라믹 퍽은 서로에 대해 동심을 이루며 반경 방향으로 이격되어 있는 제 1 및 제 2 히터 코일을 포함하며, 상기 제 1 히터 코일은 상기 세라믹 퍽의 주변부에 위치되고 상기 제 2 히터 코일은 상기 세라믹 퍽의 중심부에 위치되는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  22. 제 16 항에 있어서,
    상기 세라믹 퍽의 후면은 복수의 메사를 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  23. 제 22 항에 있어서,
    상기 기저부의 퍽 수용면은 상기 세라믹 퍽의 후면에 있는 메사 주위에 공기를 포함하도록 주변 홈을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  24. 제 16 항에 있어서,
    상기 기저부의 상부면은 중앙 홈을 포함하는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
  25. 제 16 항에 있어서,
    상기 기저부는 전력을 상기 정전기 척의 전극으로 유도하는 전기 터미널 조 립체를 포함하며, 상기 터미널 조립체는 상기 전극 및 상기 정전기 척의 히터 코일로 전력을 공급하는 복수의 터미널 포스트가 내부에 매설된 세라믹 절연 재킷을 포함하며, 상기 각각의 터미널 포스는 접촉 밴드에 의해 둘러싸여 있는,
    프로세싱 챔버 내에 기판을 수용하기 위한 기판 지지대.
KR1020060129234A 2006-04-27 2006-12-18 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 KR101380879B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US79601306P 2006-04-27 2006-04-27
US60/796,013 2006-04-27

Publications (2)

Publication Number Publication Date
KR20070105828A true KR20070105828A (ko) 2007-10-31
KR101380879B1 KR101380879B1 (ko) 2014-04-02

Family

ID=38769279

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060129234A KR101380879B1 (ko) 2006-04-27 2006-12-18 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대
KR1020070041285A KR101387598B1 (ko) 2006-04-27 2007-04-27 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020070041285A KR101387598B1 (ko) 2006-04-27 2007-04-27 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대

Country Status (4)

Country Link
JP (2) JP5069452B2 (ko)
KR (2) KR101380879B1 (ko)
CN (4) CN101887865B (ko)
TW (2) TWI357629B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180028401A (ko) * 2015-08-06 2018-03-16 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 시스템들을 위한 볼트 결합된 웨이퍼 척 열 관리 시스템들 및 방법들

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US7884925B2 (en) * 2008-05-23 2011-02-08 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
JP4913113B2 (ja) * 2008-11-27 2012-04-11 エイ・ディ・ピー・エンジニアリング・コーポレーション・リミテッド 平板表示素子製造装置の下部電極組立体
CN102396060A (zh) * 2009-04-24 2012-03-28 应用材料公司 具有侧出气口的基板支撑件及方法
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8613288B2 (en) 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
JP5267603B2 (ja) * 2010-03-24 2013-08-21 Toto株式会社 静電チャック
JP2012028539A (ja) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd セラミックス接合体
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
KR101970184B1 (ko) * 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
JP5961917B2 (ja) * 2011-03-24 2016-08-03 住友電気工業株式会社 ウェハ保持体
KR102103136B1 (ko) * 2011-09-30 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 온도 제어되는 정전 척
CN102931133B (zh) * 2012-11-12 2016-02-10 中微半导体设备(上海)有限公司 一种改善硅穿孔工艺中刻蚀均匀性的方法
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
JP6335341B2 (ja) * 2014-07-23 2018-05-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 可変型温度制御式基板支持アセンブリ
JP6392612B2 (ja) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 静電チャック
JP6463938B2 (ja) * 2014-10-08 2019-02-06 日本特殊陶業株式会社 静電チャック
JP5987966B2 (ja) * 2014-12-10 2016-09-07 Toto株式会社 静電チャックおよびウェーハ処理装置
US10781518B2 (en) * 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
JP6124156B2 (ja) * 2015-04-21 2017-05-10 Toto株式会社 静電チャックおよびウェーハ処理装置
US9870934B2 (en) 2015-07-28 2018-01-16 Micron Technology, Inc. Electrostatic chuck and temperature-control method for the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
CN108352297B (zh) * 2015-12-07 2023-04-28 应用材料公司 合并式盖环
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
JP6681522B1 (ja) * 2018-09-13 2020-04-15 日本碍子株式会社 ウエハ載置装置
WO2020163060A1 (en) * 2019-02-05 2020-08-13 Applied Materials, Inc. Substrate support for chucking of mask for deposition processes
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
CN110331386A (zh) * 2019-07-09 2019-10-15 长江存储科技有限责任公司 在半导体晶圆上形成薄膜的方法
JP7390880B2 (ja) * 2019-12-05 2023-12-04 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN114959654B (zh) * 2021-02-26 2024-01-09 鑫天虹(厦门)科技有限公司 晶圆承载盘及应用晶圆承载盘的薄膜沉积装置
WO2024015187A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Process kit for a substrate support

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62150839A (ja) * 1985-12-25 1987-07-04 Hitachi Ltd 半導体組立装置
JPH07153822A (ja) * 1993-11-30 1995-06-16 Oki Electric Ind Co Ltd プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH10303286A (ja) * 1997-02-25 1998-11-13 Applied Materials Inc 静電チャック及び半導体製造装置
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002170753A (ja) * 1999-11-30 2002-06-14 Ibiden Co Ltd 半導体製造・検査用セラミックヒータ
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6481886B1 (en) * 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
KR100397891B1 (ko) 2001-07-25 2003-09-19 삼성전자주식회사 반도체 장치 식각설비의 척 조립체
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4355159B2 (ja) 2002-04-16 2009-10-28 キヤノンアネルバ株式会社 静電吸着ホルダー及び基板処理装置
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
CN2585414Y (zh) * 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2004282047A (ja) 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
EP1458019A3 (de) * 2003-03-13 2005-12-28 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Mobiler transportabler elektrostatischer Substrathalter
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
JP4674792B2 (ja) 2003-12-05 2011-04-20 東京エレクトロン株式会社 静電チャック
KR100666039B1 (ko) * 2003-12-05 2007-01-10 동경 엘렉트론 주식회사 정전척
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20060023395A1 (en) * 2004-07-30 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for temperature control of semiconductor wafers
CN100382275C (zh) * 2004-10-29 2008-04-16 东京毅力科创株式会社 基板载置台、基板处理装置及基板的温度控制方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180028401A (ko) * 2015-08-06 2018-03-16 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 프로세싱 시스템들을 위한 볼트 결합된 웨이퍼 척 열 관리 시스템들 및 방법들

Also Published As

Publication number Publication date
CN101093811A (zh) 2007-12-26
KR20070105929A (ko) 2007-10-31
TWI357629B (en) 2012-02-01
CN101887865A (zh) 2010-11-17
JP2007300057A (ja) 2007-11-15
TW200807606A (en) 2008-02-01
CN102593031A (zh) 2012-07-18
CN101093811B (zh) 2012-04-25
CN102593031B (zh) 2015-09-16
KR101387598B1 (ko) 2014-04-23
KR101380879B1 (ko) 2014-04-02
CN101887865B (zh) 2013-06-19
TWI463588B (zh) 2014-12-01
CN101093812A (zh) 2007-12-26
JP2007300119A (ja) 2007-11-15
TW200809999A (en) 2008-02-16
JP5069452B2 (ja) 2012-11-07
JP5183092B2 (ja) 2013-04-17

Similar Documents

Publication Publication Date Title
KR101380879B1 (ko) 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대
US8226769B2 (en) Substrate support with electrostatic chuck having dual temperature zones
US10257887B2 (en) Substrate support assembly
KR20080008933A (ko) 신속한 온도 구배 제어에 의한 기판 처리
US8607731B2 (en) Cathode with inner and outer electrodes at different heights
US6440221B2 (en) Process chamber having improved temperature control
US10131994B2 (en) Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
KR20190065141A (ko) 지지 어셈블리 및 지지 어셈블리의 조립 방법
US7075031B2 (en) Method of and structure for controlling electrode temperature
KR20160084803A (ko) 배치대 및 기판 처리 장치
WO2011081049A1 (ja) 載置台構造及び処理装置
US20120070914A1 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP2003501829A (ja) プラズマ処理のための改良された基体支持体
CN109390200B (zh) 等离子体处理装置
KR20180130068A (ko) 윈도우 유닛 및 그를 포함하는 기판 처리 장치, 기판 처리 방법, 그리고 윈도우 유닛의 제조 방법
KR20030019607A (ko) 가열 기판 지지체 어셈블리 및 방법
KR20240014259A (ko) 플라즈마 장비

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 4