KR101387598B1 - 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 - Google Patents

이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 Download PDF

Info

Publication number
KR101387598B1
KR101387598B1 KR1020070041285A KR20070041285A KR101387598B1 KR 101387598 B1 KR101387598 B1 KR 101387598B1 KR 1020070041285 A KR1020070041285 A KR 1020070041285A KR 20070041285 A KR20070041285 A KR 20070041285A KR 101387598 B1 KR101387598 B1 KR 101387598B1
Authority
KR
South Korea
Prior art keywords
substrate
iii
ceramic puck
ceramic
ring
Prior art date
Application number
KR1020070041285A
Other languages
English (en)
Other versions
KR20070105929A (ko
Inventor
알렉산더 매튜시킨
데니즈 쿠사우
테오도로스 파나고플로스
존 홀랜드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38769279&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101387598(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070105929A publication Critical patent/KR20070105929A/ko
Application granted granted Critical
Publication of KR101387598B1 publication Critical patent/KR101387598B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 프로세싱 챔버 내에 기판을 수용하기 위한 정전기 척은 기판 수용면 및 서로 이격된 복수의 메사를 갖춘 대향 후면을 구비한 세라믹 퍽을 포함한다. 전극은 기판을 유지하기 위한 정전기력을 발생시키도록 세라믹 퍽 내에 매립된다. 세라믹 퍽의 중앙부와 주변부에 위치되는 히터 코일들은 세라믹 퍽의 중앙부와 주변부의 독립적인 온도 제어를 가능하게 한다. 척은 보유된 공기를 갖는 홈을 갖춘 기저부에 의해 지지된다. 척과 기저부는 위에 놓인 에지 링과 클램프 링도 또한 구비한다.

Description

이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 {SUBSTRATE SUPPORT WITH ELECTROSTATIC CHUCK HAVING DUAL TEMPERATURE ZONES}
도 1은 정전기 척의 실시예의 개략적인 측단면도이다.
도 2는 도 1의 정전기 척의 개략적인 저면도이다.
도 3은 광학 온도 센서의 개략적인 측면도이다.
도 4a 및 도 4b는 기저부 및 정전기 척을 포함하는 기판 지지대의 실시예의 상부(도 4a) 및 하부(도 4b)의 개략적인 사시도이다.
도 4c는 기저부 및 정전기 척을 포함하는 기판 지지대의 또 다른 실시예의 개략적인 상부 사시도이다.
도 4cc은 주변 포트와 주변 가스 밀봉 림을 갖춘 주변 영역을 도시하는 도 4c의 원형 영역의 세부 사시도이다.
도 4d는 도 4c의 지지대의 기저부의 저면도이다.
도 5a는 도 4a 및 도 4b의 기판 지지대 상의 클램프 링 위에 있는 에지 링을 포함하는 링 조립체의 일 실시예의 개략적인 측단면도이다.
도 5b는 도 5a의 링 조립체의 상세도이다.
도 5c는 기판 지지대 상의 클램프 링 위에 있는 에지 링을 포함하는 링 조립체의 다른 실시예의 개략적인 측단면도이다.
도 6은 기저부의 전기 커넥터 조립체의 실시예의 개략적인 측단면도이다.
도 7은 접촉 밴드의 실시예의 개략적인 측단면도이다.
도 8은 기판 지지대를 갖춘 기판 프로세싱 챔버의 실시예의 개략적인 측면도이다.
본 출원은 2006년 4월 27일에 출원된, 미국 가 출원 제 60/796,093호에 대한 우선권을 주장하며, 가 출원은 본 명세서에 전체적으로 참조로서 통합된다.
본 발명의 실시예는 기판 프로세싱 챔버 내에 기판을 유지하기 위한 기판 지지대에 관한 것이다.
반도체 및 디스플레이와 같은 기판의 처리에서, 기판 프로세싱 챔버에 기판을 유지시키기 위해 정전기 척이 사용된다. 전형적인 정전기 척은, 세라믹 또는 폴리머와 같은 유전체에 의해 커버되는 전극을 포함한다. 전극이 전기적으로 대전되면, 전극과 기판에서의 정전하들은 척 상에서 기판을 유지시킨다. 전형적으로, 기판의 온도는 척의 표면과 기판 사이에 있는 미세 간극에 걸쳐 열 전달률을 개선하기 위해 기판 뒤에 가스를 제공함으로써 제어된다. 정전기 척은 척을 냉각 또는 가열하도록 유체가 그 사이로 통과하는 채널을 갖춘 기저부에 의해 지지될 수 있다. 일단 기판이 척 상에서 단단히 유지되면, 처리 가스가 챔버 내로 유입되고, 그리고 CVD, PVD, 에칭, 임플란트(implant), 산화, 질화 또는 다른 처리들에 의해 기판을 처리하도록 플라즈마가 형성된다.
처리 중에, 기판은 종종 기판 표면에 걸쳐서 불균일한 처리율 또는 다른 처리 특성들로 다루어진다. 예를 들어, 이와 같은 불균일한 처리는 기판 표면에 걸쳐 방사상 동심의 처리 밴드(band)를 유발할 수 있다. 불균일한 처리는 챔버 내의 가스 종 또는 플라즈마 종의 분포에 의해 또한 유발될 수 있다. 예를 들어, 챔버에 걸친 가스의 분포는 기판 표면에 대해 챔버 내의 유입 가스 포트 및 배출 포트의 위치에 따라 달라질 수 있다. 질량(mass) 전달 메커니즘도 또한 기판 표면의 상이한 영역에서 가스 종의 소실 비율 및 도달 비율을 변경시킬 수 있다. 처리율에 있어 가변성은 챔버에서 일어나는 불균일한 열 부하로부터 유발될 수 있다. 이러한 가변적인 열 부하는, 예를 들어, 챔버 벽으로부터 반사되는 복사 열 또는 플라즈마 쉬스(sheath)로부터 기판으로의 에너지의 불균일한 결합으로 인해 일어날 수 있다. 기판에 걸친 이러한 처리 가변성은 기판의 상이한 영역(예를 들어, 주변 기판 영역 및 중앙 기판 영역)에서 제조되는 능동 및 수동 전자 장치가 상이한 특성을 가질 수 있기 때문에 바람직하지 않다.
따라서, 처리 중에 기판 표면에 걸쳐 처리율 및 다른 처리 특성에서의 변동들을 감소시키는 것이 바람직하다. 또한, 기판의 처리 표면에 걸쳐 상이한 영역들에서 온도를 제어하는 것이 바람직할 수 있다. 나아가, 기판 처리 중에 기판에 걸쳐 온도 및 가스 분포 프로파일을 제어하는 것이 바람직하다.
본 발명의 특징들, 양상들 및 장점들은, 다음의 상세한 설명, 첨부된 청구범위들 및, 본 발명의 예시들을 도시하는 첨부 도면들과 관련하여 더 잘 이해될 것이다. 그러나, 특징들 각각은 단지 특정 도면의 맥락이 아닌, 일반적으로 발명에서 사용될 수 있는 것으로 이해되어야 하고, 그리고 본 발명은 이들 특징들의 임의의 조합도 포함한다.
도 1에서 도시한 바와 같이 정전기 척(20)의 실시예는, 기판(25)을 유지하는 역할을 하며 세라믹 퍽(puck)(24)의 최상부면인 기판 수용면(26)을 갖는 세라믹 몸체를 포함하는 세라믹 퍽(24)을 포함한다. 세라믹 퍽(24)은 또한 기판 수용면(26)과 대향하는 후면(28)을 가진다. 세라믹 퍽(24)은 제 1 계단부(31) 및 제 2 계단부(33)를 갖는 주변 릿지(29)를 추가로 가지며, 상기 제 2 계단부(33)는 제 1 계단부(31)보다 낮고 제 1 계단부(31)로부터 외측 반경방향에 있다. 세라믹 퍽(24)은 알루미늄 산화물, 알루미늄 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 티타늄 산화물, 지르코늄 산화물 및 이들의 혼합물 중 적어도 하나를 포함한다. 세라믹 퍽(24)은 세라믹 분말을 고온 압착하고 소결하고 나서, 퍽(24)의 최종 형상을 형성하기 위해 소결된 형상을 기계 가공함으로써 제조되는 세라믹의 단일 모노리스(monolith)일 수 있다.
도 1 및 도 2에 도시된 바와 같이, 일 버전에서, 세라믹 퍽(24)의 후면(28)은 복수의 이격된 메사(30; mesa)을 포함하고, 메사들 각각은 복수의 간극(32)에 의해 서로로부터 분리되는 원통형 마운드이다. 사용시, 간극(32)은 후면(28)으로부터 다른 구조들의 밑에 있는 다른 면들로의 열 전달률을 조절하기 위해, 공기와 같은 가스로 채워진다. 일 실시예에서, 메사(30)는 후면(28)으로부터 연장하고 포스트와 같은 형상일 수도 있는 원통형 마운드를 포함하며, 상기 포스트는 장방형 또는 원통형 단면 형상을 가진다. 메사(30)의 높이는 약 10 내지 약 50μ일 수 고, 메사(30)의 폭(또는 직경)은 약 500 내지 약 5000μ일 수 있다. 그러나, 메사(30)는 또한 다른 형상 및 크기, 예를 들어 원추형 또는 장방형 블록, 또는 다양한 크기의 범프일 수 있다. 일 버전에서, 메사(30)는 그 사이에 있는 간극(32)을 가진 메사(30) 형상을 형성하기 위하여 후면(28) 재료의 부식에 의해 에칭시키도록, 적당히 작은(예를 들어, 수십 μ정도) 비드 크기로 후면(28)을 비드 브래스팅(blast)함으로써 형성된다.
세라믹 퍽(24)은 기판 수용면(26) 상에 놓인 기판을 보유하기 위한 정전기력을 발생시키기 위하여 내부에 매립된 전극(36)을 또한 포함한다. 전극(36)은 금속과 같은 전도체이며, 단극성 또는 양극성 전극으로서 형상된다. 단극성 전극은 단일한 전도체를 포함하며 외부 전력원에 대한 단일한 전기 접속을 가지며 척(20) 상에 유지된 기판에 걸쳐서 전기 바이어스를 가하도록 챔버 내에 형성된 위에 있는(overlying) 플라즈마의 하전 종(charged species)과 협력한다. 양극성 전극은 기판을 유지하기 위한 정전기력을 발생시키기 위하여 다른 것에 대해 각각 바이어싱되는 두 개 또는 그 이상의 전도체를 가진다. 전극(36)은 적합한 절개부(cut-out) 영역을 갖는 금속 판 또는 와이어 메쉬와 같은 형상일 수 있다. 예를 들어, 단극성 전극을 포함하는 전극(36)은 도시된 바와 같이, 세라믹 퍽 내에 매립된 단일한 연속 와이어 메쉬일 수 있다. 양극성 전극을 포함하는 전극(36)의 실시예는 C-형상의 직선 레그(leg)를 가로질러 서로 향하는 충진(fill-in)된 한 쌍의 C-형상 평판일 수 있다. 전극(36)은 알루미늄, 구리, 철, 몰리브덴, 티타늄, 텅스텐, 또는 이들의 합금으로 구성될 수 있다. 전극(36)의 일 버전은 몰리브덴의 메쉬이다. 전극(36)은 전력을 외부 전원 공급부로부터 전극(36)으로 공급하는 터미널 포스트(58)에 연결된다.
세라믹 퍽(24)은 열 전달 가스를 기판 수용면(26)에 제공하도록 기판 수용면(26) 상에 포트(40a, 40b)에서 종결하고, 세라믹 몸체를 횡단하는 복수의 열 전달 가스 도관(38a, 38b)을 또한 가진다. 예를 들어 헬륨일 수 있는 열 전달 가스는 기판 후면(34) 아래로 공급되어, 위에 있는 기판(25)에서부터 그리고 세라믹 퍽(24)의 수용면(26)으로 열을 전도한다. 예를 들어, 제 1 가스 도관(38a)은 기판 수용면(26)의 중앙 가열 영역(42a)으로 열 전달 가스를 공급하도록 위치될 수 있으며, 제 2 가스 도관(38b)은 기판 수용면(26)의 주변 가열 영역(42b)으로 열 전달 가스를 공급하도록 위치될 수 있다. 세라믹 퍽(24)의 기판 수용면(26)의 중앙 가열 영역(42a) 및 주변 가열 영역(42b)은 기판 처리 면(44)의 대응하는 부분(예를 들어, 기판(25)의 위에 놓은 중앙부(46a) 및 주변부(46b) 각각)이 상이한 온도에서 유지되도록 한다.
세라믹 퍽(24)의 기판 수용면(26)의 중앙 가열 영역(42a) 및 주변 가열 영역(42b)에서의 온도는 세라믹 퍽(24) 내에 매립된 복수의 히터 코일(50, 52)(예를 들어, 제 1 히터 코일(50) 및 제 2 히터 코일(52))을 사용하여 추가로 제어된다. 예를 들어, 히터 코일(50, 52)은 서로에 대해 동심이며 반경방향으로 이격될 수 있고, 서로 동일 평면이고 나란할 수 있다. 일 버전에서, 제 1 히터 코일(50)은 세라믹 퍽(24)의 중앙부(54a)에 위치되고 제 2 히터 코일(52)은 세라믹 퍽(24)의 주변부(54b)에 위치된다. 제 1 히터 코일(50) 및 제 2 히터 코일(52)은 세라믹 퍽(24)의 중앙부(54a) 및 주변부(54b) 온도의 독립적인 제어를 하게 하며, 추가로 세라믹 퍽(24)의 후면 상에 있는 메사(30)와도 협력하여 세라믹 퍽(24)의 수용면(26) 상에 놓인 기판(25)의 온도 프로파일의 조절을 하도록 한다.
각각의 히터 코일(50, 52)은 기판(25)의 처리면(44)의 반경 방향에 걸쳐서 상이한 처리율 또는 특성을 달성하기 위하여 가열 영역(42a, 42b)의 온도를 독립적으로 제어하기 위한 능력을 제공한다. 이와 같이, 상이한 온도는 기판(25)의 위에 놓인 중앙부(46a) 및 주변부(46b)의 온도에 영향을 미치도록 두 가열 영역(42a, 42b)에서 유지될 수 있고, 이로써 기판(25)의 처리 중에 발생하는 임의의 다양한 가스 종의 분포 또는 열 부하에 대항한다. 예를 들어, 기판(25)의 처리면(44)의 주변부(46b)에 있는 가스 종이 중앙부(46a)에 있는 것보다 덜 활성적일 때, 주변 가열 영역(42b)의 온도는 중앙 가열 영역(42a)보다 더 높은 온도로 상승하여 기판(25)의 처리면(44)에 걸쳐서 더욱 균일한 처리 비율 또는 처리 특성을 제공한다.
일 버전에서, 제 1 히터 코일(50) 및 제 2 히터 코일(52)은, 나란히 배열되며 실질적으로 동일한 평면 내에 있을 수 있는 저항식 가열 소자의 원형 루프를 각각 포함한다. 예를 들어, 히터 코일(50, 52)은 각각, 세라믹 퍽(24)의 몸체에서 내측 반경방향으로 점진적으로 나선을 이루는 연속적인 동심 루프일 수 있다. 히터 코일(50, 52)은 또한 코일의 중앙부를 통과하는 축선 주위에서 나선을 이루는, 예를 들어, 전구 필라멘트와 같은 나선형 코일일 수 있으며, 이는 세라믹 퍽(24)의 내측 볼륨(volume)을 가로지르는 동심인 원들 내에 위치된다. 저항식 가열 소자는, 예를 들어 몰리브덴과 같은, 상이한 전기적 저항 재료로 구성될 수 있다. 일 버전에서, 히터 코일(50, 52)은 각각 세라믹 퍽(24)의 기판 수용면(26)의 온도를 약 80 내지 약 250℃로 유지시키기에 충분히 높은 전기적 저항을 포함한다. 이러한 버전에서, 코일의 전기적 저항은 약 4 내지 약 12Ω이다. 일 예시로, 제 1 히터 코일(50)은 6.5Ω의 전기적 저항을 가지며 제 2 히터 코일(52)은 8.5Ω의 전기 저항을 가진다. 히터 코일(50, 52)은 세라믹 퍽(24)을 통해 연장하는 독립적인 터미널 포스트(58a-d)를 경유하여 전력이 공급된다.
히터 코일(50, 52)과 함께, 열 전달 가스의 압력은 기판(25)에 걸쳐서 기판 처리율을 더욱 균일하게 하기 위해서 두 가열 영역(42a, 42b)에서 또한 제어될 수 있다. 예를 들어, 두 영역(42a, 42b)은 기판(25)의 후면(34)으로부터 상이한 열 전달률을 제공하기 위하여 상이한 평형 압력에서 열 전달 가스를 유지하도록 각각 설정될 수 있다. 이는, 기판 수용면(26)의 두 개의 상이한 위치를 이탈하도록, 각각 두 개의 도관(38a, 38b)을 통해 두 개의 상이한 압력에서 열 전달 가스를 공급함으로써 달성된다.
정전기 척(20)은, 또한, 기판(25)의 위에 놓인 중앙부(46a) 및 주변부(46b)와 접속하여 이들 부분의 온도를 정확히 측정하기 위해서 세라믹 퍽(24) 내에 구멍(62a, 62b)을 통과하는 광학 온도 센서(60a, 60b)를 포함할 수 있다. 제 1 센서(60a)는 기판(25)의 중앙부(46a)의 온도를 판독하기 위해 세라믹 퍽(24)의 중앙 가열 영역(42a)에 위치되고, 제 2 센서(60b)는 기판(25)의 주변부(46b)에서의 온도를 상응하게 판독하기 위해 세라믹 퍽(24)의 주변 가열 영역(42b)에 위치된다. 광학 온도 센서(60a, 60b)는 척(20) 내에 위치되어, 센서 선단부(64a, 64b)가 척(20) 상에서 유지되는 기판(25)의 후면(34)과 접촉할 수 있도록 센서 선단부(64a, 64b)는 세라믹 퍽(24)의 기판 수용면(26)과 함께 일 평면 내에 놓인다. 센서(60a, 60b)의 레그(66a, 66b)가 세라믹 퍽(24)의 몸체를 통해 수직으로 연장한다.
도 3에 도시한 바와 같은 일 버전에서, 각각의 광학 온도 센서(60)는 열 센서 프로브(68)를 포함하는데, 열 센서 프로브(68)는, 선단부(64)로서의 역할을 하는 돔형 최상부(74) 및 측면(72)을 갖춘 밀폐형 실린더와 같은 형상의 구리 캡(70)을 포함한다. 구리 캡(70)은 무산소 구리 재료로 구성될 수 있다. 포스포러스(phosphorous) 플러그(76)는 구리 캡(70)의 최상부(74)와 직접 접촉하며 캡의 상부 내측에 매립된다. 구리 캡(70) 내에 매립되는 포스포러스 플러그(76)는 보다 신속하고 보다 민감한 열 응답을 열 감지 프로브(68)에 제공한다. 구리 캡(70)의 선단부(64)는 기판을 부식시키거나 손상시키지 않고 상이한 기판(25)과의 반복된 접촉을 가능하게 하는 돔형 최상부(74)이다. 구리 캡(70)은 캡(70)을 센서 프로브(68) 내에 고정시키기 위하여 에폭시(79)를 수용하기 위한 리세스 홈(recess groove)(78)을 갖는다.
포스포러스 플러그(76)는 적외선 방사 형태인 열을 광자로 전환시키는데, 여기서 광자는 광섬유 번들(80)을 통과한다. 광섬유 번들(80)은 붕규산염 유리 섬유로 구성될 수 있다. 광 섬유 번들(80)은 슬리브(82)에 의해 케이스화(encase) 되는데, 슬리브(82)는 세라믹 퍽을 지지하는 기저부의 열로부터 온도 센서를 격리시키는 역할을 하는 온도 절연 재킷(84)에 의해 차례로 부분적으로 둘러싸인다. 슬리브(82)는 주위 구조로부터 보다 양호한 열 절연을 제공하기 위한 유리 튜브일 수 있으나, 구리와 같은 금속으로도 또한 제조될 수 있다. 온도 절연 재킷(84)은 PEEK(폴리에테르에테르케톤; polyetheretherketone)으로 구성될 수 있으며, 또한 미국 델라웨어주 소재의 듀퐁 드 느무르사로부터의 Teflon®(폴리테트라플루오로에틸렌)일 수 있다.
도 4a, 4b 및 5a에서 도시된 바와 같이, 기판 지지대(90)는 기저부(91)에 고정되는 정전기 척(20)을 포함하는데, 기저부(91)는 척(20)을 지지하고 고정하기 위하여 사용된다. 기저부(91)는 척 수용부(96) 및 주변부(98)를 갖는 최상부면(94)을 갖춘 금속 몸체(92)를 포함한다. 최상부면(94)의 척 수용부(96)는 정전기 척(20)의 세라믹 퍽(24)의 후면(28)을 수용하도록 구성된다. 기저부(91)의 주변부(98)는 세라믹 퍽(24)을 넘어 외측 반경방향으로 연장한다. 기저부(91)의 주변부(98)는 기저부의 주변부의 최상부면에 고정될 수 있는 클램프 링(100)을 수용하도록 구성될 수 있다. 기저부(91)의 금속 몸체(92)는, 예를 들어, 터미널(58a-d)을 유지시키거나 가스를 세라믹 퍽(24)의 가스 도관(38a, 38b)에 공급하기 위해, 기저부의 최하부면(104)으로부터 기저부(91)의 최상부면(94)으로 연장하는 다수의 통로(102)를 가진다.
기저부(91)의 최상부면(94)의 척 수용부(96)는 세라믹 퍽(24)의 후면에 걸쳐 공기를 보유하고 유동시키기 위한 하나 또는 그 이상의 홈(106a, 106b)을 포함한다. 일 실시예에서, 척 수용부(96)는 주변 홈(106a)을 포함하는데, 세라믹 퍽(24)의 주변부(54b)로부터의 열 전달률을 제어하기 위하여 세라믹 퍽(24)의 후면(28) 상에 있는 복수의 메사(30)와 협력한다. 또 다른 실시예에서, 중앙 홈(106b)은 세라믹 퍽(24)의 중앙부(54a)로부터의 열 전달을 조절하도록 주변 홈(106a)과 연관되어 사용된다.
기저부(91)의 최상부면(94) 내에 있는 홈(106a, 106b)은 기판 처리면(44)에 걸쳐 온도를 추가로 조절하도록 세라믹 퍽(24)의 후면(28) 상에 있는 메사(30)와 협력한다. 예를 들어, 메사(30)의 형상, 크기 및 간격은 기저부(91)의 최상부면(94)과 메사(30)의 총 접촉면의 양을 제어하고, 이를 통해 인터페이스의 총 열 전도 면적을 제어한다. 예를 들어, 메사(30)는 세라믹 퍽(24)의 후면(28)의 전체 면적의 약 50% 이하(예를 들어, 30%)가 기저부(91)의 최상부면(94)과 실제로 접촉하도록 형상과 크기를 가질 수 있다. 접촉 면적이 작으면 작을수록, 기판 처리면(44) 전체에 걸친 온도는 더 높아진다. 또한, 추가적인 온도 조절기로서의 역할을 하기 위해 공기가 메사들(30) 사이와 후면(28)에 걸쳐 제공된다.
세라믹 퍽(24)의 후면(28) 상에 있는 메사(30)는 균일하거나 불균일한 패턴으로 후면(28)에 분포될 수 있다. 균일한 패턴에서, 간극(32)에 의해 표시된 메사들(30) 간의 거리는 실질적으로 동일하게 유지되고, 불균일한 간격에서 상기 간극 거리는 후면(28)에 걸쳐서 변한다. 메사(30)의 형상과 크기도 후면(28)에 걸쳐서 변하도록 또한 제조될 수 있다. 예를 들어, 메사(30)의 불균일한 패턴은 상이한 영역에서 세라믹 퍽(24)의 후면(28)에 걸쳐 상이한 접촉면의 양을 제공하도록, 퍽(24)의 중앙부(54a) 및 주변부(54b) 각각으로부터의 열 전달률을 제어하여 위에 놓인 기판(25)의 중앙부(46a) 및 주변부(46b)에서의 온도를 제어하도록 배열될 수 있다.
기저부(91)는 추가로, 물과 같은 유체를 순환시키기 위한 복수의 채널(110)을 포함한다. 순환 냉각 유체를 갖는 기저부(91)는 기판(25)의 처리면(44)에 걸쳐 요구된 온도를 달성하기 위하여 척(20)의 온도를 제어하기 위한 열 교환기로서의 역할을 한다. 채널(110)을 통과하는 유체는 척(20)의 온도 및 척(20) 상에 유지된 기판(25)의 온도를 상승시키거나 하강시키도록 가열 또는 냉각될 수 있다. 일 버전에서, 채널(110)은 약 0 내지 120℃의 온도로 기저부(91)를 유지시키도록 유체를 유동시키는 형상 및 크기를 가진다.
기저부(91)는 전력을 정전기 척(20)의 전극(36)에 전도하기 위한 전기 터미널 조립체도 추가로 포함한다. 전기 터미널 조립체는 세라믹 절연 재킷(124)을 포함한다. 세라믹 절연 재킷(124)은 예를 들어, 알루미늄 산화물일 수 있다. 복수의 터미널 포스트(58)는 세라믹 절연 재킷(124) 내에 매립된다. 터미널 포스트(58, 58a-d)는 전력을 정전기 척(20)의 히터 코일(50, 52) 및 전극(36)에 공급한다. 예를 들어, 터미널 포스트(58)는 구리 포스트를 포함할 수 있다.
도 7에 도시된 바와 같이, 접촉 밴드(140)는 전기 터미널 조립체의 터미널 포스트(58, 58a-d)를 둘러싸도록 구성된다. 각각의 접촉 밴드(140)는 예를 들어, 구리 합금과 같은 금속을 포함한다. 접촉 밴드(140)의 구조체는 터미널 포스트(58) 주위에 알맞도록 구성되는 케이싱(142)을 포함한다. 케이싱(142)의 형상은 포스트(58)의 형상에 의존하며, 최적으로는 포스트(58)의 형상과 유사할 것이다. 케이싱(142)의 스트립(146) 또는 일부는 복수의 슬롯(148) 및 복수의 열 전달 루버(150;louver)를 갖춘 밴드(144)를 포함하며, 상기 슬롯(148)은 슬롯(148)과 교번하는 루버(150)를 결과적으로 생성하는 패턴으로 구성된다. 일 실시예에서, 복수의 슬롯(148) 및 루버(150)는 스트립(146)의 최상부 에지(152)로부터 스트립(146)의 최하부 에지(154) 또는 케이싱(142)의 일부까지 연장한다. 복수의 슬롯(148)과 루버(150)는 케이싱(142)의 강성(stiffness)을 감소시키고 케이싱이 터미널 또는 터미널 포스트(58)의 외측 표면 주위와 일치하게 하는 스프링-같은 특징을 생성한다. 케이싱(142)의 스트립(146) 상에서 복수의 슬롯(148)의 구성도 또한 스프링-같은 특징을 통해, 터미널 포스트(58)로 하여금 케이싱(142)의 내측 노출면(143)의 실질적인 영역과 접촉되게 한다. 이는 접촉 밴드(140)와 터미널 사이에서 최적의 열 전달을 하게 한다.
도 5a에 도시된 바와 같이, 기저부(91)에 의해 지지되는 정전기 척(20)을 포함하는 기판 지지부(90)의 주변 영역에서 프로세스 증착물의 형성을 감소시키고 부식을 방지하기 위해 링 조립체(170)가 또한 제공될 수 있다. 도 5b에 도시된 실시예에서, 링 조립체(170)는 클램프 링(100)을 포함하는데, 클램프 링(100)은 나사 또는 볼트(169)와 같은 고정 수단으로 기저부(91)의 최상부면(94)의 주변부(98)에 고정되는 구멍(175)을 갖는 환형 몸체(171)를 포함한다. 클램프 링(100)은 클램프 링(100)의 방사상의 외경을 형성하는 외측면(176) 및 최상부면(174)으로부터 내측 반경방향으로 연장하는 상부 립(172)을 구비한다. 상부 립(172)은 세라믹 퍽(24)의 주변 릿지(29)의 제 1 계단부(31)에 알맞게 놓인 크기를 갖는 밑면(173)을 구비한다. 일 버전에서, 립(172)은 세라믹 퍽(24)과 기저부(91) 사이에 기밀식(gas-tight) 밀봉을 형성하도록 구성되는 밑면(173)을 구비한다. 예를 들어, 밑면(173)은 양호한 밀봉을 형성하기 위해, 예를 들어 폴리이미드와 같은, 폴리머 층과 같은 폴리머를 포함할 수 있다. 클램프 링(100)은 플라즈마에 의한 부식을 견딜 수 있는 재료(예를 들어, 스테인레스 강, 티타늄 또는 알루미늄과 같은 금속 재료; 또는 알루미늄 산화물과 같은 세라믹 재료)로 제조된다.
도 5b에 도시된 바와 같이 링 조립체(170)는, 또한 클램프 링(100)의 최상부면(174) 상에 놓인 푸트(foot; 184)를 구비한 밴드(182)를 포함하는 에지 링(180)을 포함한다. 에지 링(180)은 클램프 링(100) 상에 스퍼터링 증착물의 증착을 감소시키거나 방지하기 위해, 그렇지 않는다면 처리 환경에 노출되는, 클램프 링(100)의 외측면(176)을 에워싸는 환형 외벽(186)을 또한 구비한다. 에지 링(180)은 또한 세라믹 퍽(24)의 주변 릿지(29)의 제 2 계단부(33)를 커버하는 플랜지(190)를 구비한다. 플랜지(190)는 기판(25)의 돌출 에지(196;overhanging edge) 아래에서 종결되는 돌출부(194;projection)를 포함한다. 플랜지(190)는 처리 동안 기판(25)에 의해 커버되지 않은 세라믹 퍽(24)의 영역들을 보호하기 위해 기판(25)의 주위를 둘러싸는 에지 링(180)의 내주를 정의한다. 링 조립체(170)의 에지 링(180) 및 클램프 링(100)은, 기판(25)의 처리 동안 기저부(91) 상에 지지되는 정전기 척(20) 상에 프로세스 증착물의 형성을 감소시키고, 정전기 척(20)의 부식을 방지하도록 협력한다. 에지 링(180)은 처리 중에 부식을 감소시키기 위해 기판 지지부(90)의 노출 측면을 또한 보호한다. 링 조립체(170)는 에지 링(180)과 클램프 링(100)의 노출면으로부터 증착물을 세정하기 위해 용이하게 제거될 수 있어서, 전체 기판 지지부(90)는 세정되기 위해서 분해될 필요가 없다. 에지 링(180)은, 예를 들어, 석영과 같은 세라믹으로 제조될 수 있다.
정전기 척(20) 및 기저부(91)를 포함하는 기판 지지부(90)의 부식을 방지할 수 있고, 기판 지지부(90) 상에 프로세스 증착물의 형성을 감소시킬 수 있는 링 조립체(170)의 또 다른 버전이 도 5c에 도시된다. 이러한 버전에서, 클램프 링(100)은 기저부(91)의 최상부면(94)의 주변부(98)에 고정되도록 구성되는 복수의 구멍(175)을 갖춘 최하부면(192) 및 에지 링(180)을 지지하기 위한 최상부면(174)을 구비한 환형 몸체(171)를 포함한다. 환형 몸체(171)는 구멍(175)과 결합하는 나사 또는 볼트(169)에 의해 기저부(91)의 최상부면(94)의 주변부(98)에 고정된다. 클램프 링(100)은 또한 세라믹 퍽(24)의 주변 릿지(29)의 제 1 계단부(31) 상에 놓이도록 내측 반경방향으로 연장하는 상부 립(172)을 구비한다. 클램프 링(100)의 상부 립(172)은 또한, 접촉 영역을 최소화하도록 세라믹 퍽(24)의 주변 릿지(29)의 제 1 계단부(31) 상에 놓이는 하향 돌출 범프(193), 및 외측 반경 최하부 리세스(194)의 인접한 곳으로부터 외부로도 또한 연장할 수 있는 하향 돌출 범프(193)를 구비할 수 있다. 클램프 링(100)의 상부 립(172)은 세라믹 퍽(24)의 주변 릿지(29)의 제 1 계단부(31) 상에 놓이는 밑면(173)(도 5B에 도시됨)을 포함하며, 일 버전에서, 이러한 밑면(173)은, 예를 들어 폴리이미드와 같은, 폴리머의 층과 같은 폴리머를 포함한다. 밑면(173)은 또한 범프(193)의 표면일 수 있으며, 예를 들어, 범프(193)는 밑면 재료로 제조될 수 있다. 클램프 링(100)의 바깥쪽 부분(194)은 기저부(91)의 외경(196)에서 종결하며 편평한 방사상 외측면(176)을 포함한다. 클램프 링(100)은 또한 기저부(91)의 최상부면(94)의 주변부(98) 상에 놓이도록 방사상 외측면(176)으로부터 하향 연장하는 푸트(197)을 구비한다. 클램프 링(100)은 알루미늄, 티타늄 또는 스테인리스 강과 같은 금속; 또는 알루미늄 산화물과 같은 세라믹으로 제조될 수 있다.
도 5c에 도시된 에지 링(180)의 버전은 경사진 상부면(183)을 갖는 웨지(wedge)-형상 밴드(182)를 포함한다. 밴드(182)의 하부면(185)은 클램프 링(100)의 최상부면(174)을 커버한다. 에지 링(180)은 또한 웨지-형상 밴드(182)로부터 내측 반경방향으로 연장하는 내부 플랜지(187)를 구비한다. 내부 플랜지(187)는 웨지-형상 밴드(182)의 하부면(185)에 비해 상향으로 층진(step up) 최하부면(188)을 포함한다. 또한, 내부 플랜지(187)는 세라믹 퍽(24)의 주변 릿지(29)의 제 1 계단부(33) 상에 놓일 수 있는 푸트(189)을 구비한다. 내부 플랜지(187)는 상부 계단부(232) 및 하부 계단부(234)를 포함하는 내측 반경 둘레부를 갖는 상부면(191)을 더 포함한다. 상부 계단부(232) 및 하부 계단부(234)는 내측 반경방향을 따라 높이가 하향으로 층진다(step down). 내부 플랜지(187)는 또한 웨지-형상 밴드(182)의 경사진 상부면(183)에 결합하는 곡선형 에지(236)를 구비한다. 에지 링(180)의 외부 플랜지(238)는 웨지-형상 밴드(182)로부터 외측 반경방향으로 연장한다. 외부 플랜지(238)는 클램프 링(100)의 외측면(176)을 커버하는 방사상 내향면(240)을 포함한다. 외부 플랜지(238)는 웨지-형상 밴드(182)의 하부면(185)에 비해 하향 연장하는 최하부 벽(242)을 더 구비한다. 외부 플랜지(238)는 또한 이러한 영역의 부식을 감소시키는 기울어진 주변 에지(244)를 구비한다. 에지 링(180)은 또한 석영과 같은 세라믹으로 제조될 수 있다.
도 4c 및 도 4cc에 도시된 바와 같이, 정전기 척(20)의 또 다른 실시예는 기판 수용면(26)을 구비한 세라믹 퍽(24)을 포함한다. 기판 수용면(26)은 서로 상호 연결되는 방사형 암(252) 및 원형 암(254)을 포함하는 홈(250) 패턴을 포함한다. 이들 홈(250)들 사이에, 이격된 메사(258)의 상승형 플래토(pleatau)(256)가 있다. 도시된 버전에서, 상승형 플래토(256)는 아치형 측부 에지(257)를 구비하며, 일반적으로 삼각형 또는 사다리꼴 형상이다. 그러나, 상승형 플래토(256)는 또한 다른 형상을 가질 수 있으며 비대칭 패턴으로 기판 수용면(26)에 걸쳐서 분포될 수 있다. 각각의 상승형 플래토(256)는, 예를 들어 약 10개 내지 약 1000개의 메사일 수 있는, 복수의 메사(258)에 의해 정의된다. 일 버전에서, 메사(258)는 예를 들어, 실린더 또는 아치형 돌출부로서의 형상인, 상승 원통형 범프이다. 예를 들어, 메사(258)는 평균 직경이 약 5 내지 50μ이고 높이가 약 0.5 내지 5mm인 실린더일 수 있다. 메사(258)는 기판으로부터 세라믹 퍽(24)의 상이한 영역으로의 열 전달율을 조절하도록, 위에 놓인 기판과의 접촉 면적을 제어하기 위한 표면(26)에 걸친 형상, 크기 및 공간 분포로 제공된다.
복수의 열 전달 가스 도관(38a, 38b)(도 1 참조)은 세라믹 퍽(24)을 통해 횡단하여 기판 수용면(26) 상의 홈(250) 패턴에 위치되는 하나 또는 그 이상의 중앙 포트(40a) 및 주변 포트(40b)에서 종결한다. 중앙 포트(40a) 및 주변 포트(40b)는 기판 수용면(26)의 중앙 영역(42a) 및 주변 영역(42b)으로 각각 열 전달 가스를 제공할 수 있다. 주변 포트(40b)는 아치형 절개부(259)에서 종결하며, 아치형 절개부(259)는 주변 영역(42b)을 정의하기 위해, 방사상 내부 가스 밀봉 림(260) 및 방사상 외부 가스 밀봉 림(262)에 의해 둘러싸인다. 중앙 포트(40a)는 중앙 영역(42a)에 대응하는 영역을 정의하기 위해 홈(250)의 중앙 암(252) 및 방사형 암(254)의 교차부에서 종결될 수 있다. 세라믹 퍽(24)의 기판 수용면(26)의 중앙 가열 영역(42a) 및 주변 가열 영역(42b)은 기판(25)의 대응하는 위에 놓인 중앙부(46a) 및 주변부(46b) 각각이 상이한 온도로 유지되도록 한다(도 8).
이러한 버전에서, 세라믹 퍽(24)은, 평평할 수 있고 전술된 메사가 없을 수 있거나 메사를 가질 수 있는 기판 수용면(26)에 대향하는 후면(28)(미도시)을 구비한다. 세라믹 퍽(24)은 또한 제 1 계단부(31) 및 제 2 계단부(33)를 갖는 주변 릿지(29)를 가지며, 상기 제 2 계단부(33)는 제 1 계단부(31)보다 낮고 제 1 계단부(31)로부터 외측 반경방향에 있다. 세라믹 퍽(24)은 알루미늄 산화물, 알루미늄 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 티타늄 산화물, 지르코늄 산화물, 또는 이들의 혼합물로 제조되고; 퍽(24)의 최종 형상을 형성하기 위해, 세라믹 분말을 고온 압착하고 소결하고 나서, 소결된 세라믹 형태를 기계 가공함으로써 제조된다. 홈(250), 메사(258), 가스 도관(38a, 38b) 및 포트(40a, 40b) 및 다른 구조물은 세라믹 구조물로 기계 가공된다.
도 4d에 도시된 버전에서, 기저부(91)는 최상부면(94)을 가진 금속 몸체(92)를 포함하고, 최상부면(94)은 세라믹 퍽(24)을 넘어 외측 반경방향으로 연장하는 주변부(98) 및 척 수용부(96)를 구비한다. 이러한 버전에서, 기저부(91)는 열 교환기로서 역할을 하기 위해, 물과 같은 유체를 순환시키기 위한 단일 채널(110)을 포함한다. 유체 순환 채널(110)은 기저부(91)에 걸쳐 불균일하게 또는 비대칭적으로 분포되는 복수의 곡선형 험프(hump) 영역(260a-c)을 갖는 구불구불한 채널을 포함한다. 채널(110) 중 긴 길이는, 사용 중에 가열되는 기저부(91)의 이들 영역을 통해 또는 이들에 걸쳐서 통과하기 위해 제공되고, 짧은 길이는 기저부(91)의 냉각 영역에서 사용된다. 결과적인 비대칭 유체 순환 채널(110)은 기저부(91)에 걸쳐 균일한 온도를 유지하기 위해 유체 유동을 제어한다.
정전기 척(20) 및 기저부(91)를 포함하는 기판 지지대(90)는 기판 처리 장치(200)에 사용될 수 있으며, 예시적인 버전이 도 8에서 도시된다. 장치(200)는 외벽(202)을 포함하는 챔버(201)를 포함하며, 일 버전에서 챔버(201)는 DPS 어드밴티지 챔버(Advantage Chamber)이다. 가스 소스(204)는 가스 구멍(203)을 통해 챔버로 처리 가스를 제공하며, 처리 가스는 에칭 가스(예를 들어, 염화물 또는 수소 염화물과 같은 할로겐-함유 가스) 또는 CVD 또는 PVD 가스와 같은 증착 가스(예를 들어, 유전체 또는 반도체 재료를 증착시키기 위한 가스)와 같은 것으로, 기판(25)을 처리할 수 있다. 가스 활성기(energizer)(208)는 기판(25)을 처리하기 위한 활성화된 가스를 형성하기 위해서, RF 에너지를 처리 가스에 각각 용량성으로 또는 유도성으로 결합시키거나, 처리 가스 내로 마이크로파 에너지를 전달하도록 제공된다(미도시). 예를 들어, 처리 가스는 전극 전원 공급부(230)를 경유하여 정전기 척(20)의 전극(36)에 RF 전압을 인가함으로써, 그리고 챔버(201)의 벽(202)을 전기적으로 접지시킴으로써 용량성으로 활성화될 수 있다. 전극 전원 공급부(230)는 또한 기판(25)을 정전기적으로 유지하도록 척(20)의 전극(36)을 대전시키기 위해 DC 척킹(chucking) 전압을 제공한다. 처리 가스는 또한 유도 코일(205)을 경유하여 처리 가스에 유도성 에너지를 결합시킴으로써 활성화될 수 있다. 대안적으로, 처리 가스는 원격 챔버(미도시) 내에 마이크로파 도관을 경유하여 처리 가스에 마이크로파 에너지를 결합시킴으로써 활성화될 수 있다. 기판(25)은 정전기 척(20)의 수용면(26) 상에서 챔버(201) 내에 유지되며, 결국 기저부(91) 상에 놓인다.
챔버는 제어기(212)에 의해 제어되는데, 이는 전형적으로, 메모리 및 주변 컴퓨터 부품에 연결되는, 미국 캘리포니아 산타클라라에 소재하는 인텔사로부터 상업적으로 이용가능한 펜티엄 프로세서와 같은 중앙 처리 유닛(CPU)을 갖는 컴퓨터로서 포함한다. 메모리는 CD 또는 플로피 드라이브와 같은 제거가능한 저장장치; 하드 드라이브와 같은 비-제거가능한 저장장치; 및 랜덤 액세스 메모리(RAM)를 포함할 수 있다. 제어기(212)는 아날로그 또는 디지털 입출력 보드 및 모터 제어기 보드를 포함하는 하드웨어 인터페이스를 추가로 포함할 수 있다. 작동기는 디스플레이 또는 데이터 입력 장치를 통해 챔버 제어기(212)와 통신할 수 있다. 특정한 스크린 또는 기능을 선택하기 위해, 작동기는 키보드 또는 라이트 펜과 같은 데이터 입력 장치를 사용하여 선택사항을 입력한다.
제어기(212)는 챔버(201) 내에서 수행되는 처리들을 제어하고 모니터링할 수 있는 프로그램 코드를 포함하는, 메모리 내에 저장되는 컴퓨터-판독가능한 프로그램도 또한 포함한다. 컴퓨터-판독가능한 프로그램은 임의의 종래의 컴퓨터-판독가능한 프로그래밍 언어로 기록될 수 있다. 적합한 프로그램 코드는 종래의 텍스트 에디터를 사용하여 단일 파일 또는 다수의 파일로 입력되며 메모리의 컴퓨터-사용가능한 매체 내에 구현되거나 저장될 수 있다. 입력된 코드 텍스트가 고급 언어라면, 코드는 컴파일되며, 결과적인 컴파일러 코드는 사전압축된 라이브러리 루틴의 목적 코드와 링크된다. 링크되고 컴파일된 목적 코드를 실행하기 위해, 사용자는 목적 코드를 불러와서 CPU가 상기 코드를 판독하고 실행하여 프로그램에서 식별된 업무를 수행하도록 한다. 프로그램은 예를 들어, 척(20)의 세라믹 퍽(24) 내에 있는 제 1 히터 코일(50) 및 제 2 히터 코일(52)에 상이한 전력 레벨을 독립적으로 인가하고, 도관(38a, 38b)을 통해 열 전달 가스의 유동을 조절하고, 기저부(91)의 채널(110)을 통해 유체의 유동률을 제어함으로써, 기판(25)의 상이한 영역에서의 온도를 제어하기 위한 온도 제어 명령어 세트를 포함할 수 있다. 프로세스 피드백 제어 명령어 세트는 온도 모니터링 명령어 세트 사이에서 피드백 제어 루프로서 역할을 할 수 있는데, 온도 모니터링 명령어 세트는 히터 코일(50, 52)과 같은 챔버 부품들에 인가되는 전력, 도관(38a, 38b)을 통한 열 전달 가스의 유동 및 기저부(91)의 채널(110)을 통한 유체의 유동을 조정하기 위해서 광학 온도 센서(60a, 60b)로부터 온도 신호를 수신한다. 업무 세트를 수행하기 위한 별도의 명령어 세트로서 설명되었지만, 이들 각각의 명령어 세트는 서로 통합될 수 있거나 중첩할 수 있으므로 본원에서 설명된 챔버 제어기(212) 및 컴퓨터-판독가능한 프로그램은 본원에서 설명된 기능적인 루틴의 특정한 버전에만 제한되지 않아야 한다.
본 발명이 이들의 특정 바람직한 버전과 관련하여 매우 상세히 설명되었지만, 다른 버전들도 가능하다. 예를 들어, 기판 지지대는 본원에서 설명된 것들과 다른 챔버들 및 다른 처리들을 위해 사용될 수 있다. 그러므로, 첨부된 청구범위는 본원에 포함된 바람직한 버전들의 설명에만 제한되지 않아야 한다.
세라믹 퍽의 중앙부와 주변부에 위치되는 히터 코일들에 의해 세라믹 퍽의 중앙부와 주변부의 독립적인 온도 제어가 가능해져서, 기판의 처리 공정 중에 기판 표면에 걸쳐서 처리율과 기타 처리 특성의 변동들을 감소시키며, 기판 처리 표면에 걸친 상이한 영역에서 온도를 제어할 수 있으며, 처리 공정 중에 기판에 걸친 온도 프로파일을 제어할 수 있다.

Claims (30)

  1. 제 1 계단부 및 제 2 계단부를 갖춘 주변 릿지를 구비한 세라믹 퍽과 상기 세라믹 퍽의 제 2 계단부 상에 놓인 에지 링을 포함하는 정전기 척을 지지하기 위한 척 수용부와 주변부를 갖춘 최상부면을 구비한 기저부를 포함하는, 프로세스 챔버용 클램프 링으로서,
    (a) 상기 에지 링을 지지하기 위한 최상부면과 상기 기저부의 최상부면의 주변부에 고정되도록 구성된 복수의 구멍을 갖춘 최하부면을 가지는 환형 몸체;
    (b) 상기 세라믹 퍽의 주변 릿지의 제 1 계단부 상에 놓이도록 내측 반경방향으로 연장하는 상부 립으로서, 상기 세라믹 퍽과 상기 기저부 사이에 기밀식(gas-tight) 밀봉을 형성하도록 구성되는 밑면을 포함하는 상부 립;
    (c) 반경 외측면; 및
    (d) 상기 기저부의 상부면의 주변부 상에 놓이도록 상기 반경 외측면으로부터 하향 연장하는 푸트를 포함하는,
    프로세스 챔버용 클램프 링.
  2. 제 1 항에 있어서,
    상기 환형 몸체는 상기 구멍에 결합되는 나사 또는 볼트에 의해 상기 기저부의 최상부면의 주변부에 고정되는,
    프로세스 챔버용 클램프 링.
  3. 제 1 항에 있어서,
    상기 클램프 링의 상부 립은:
    (ⅰ) 상기 세라믹 퍽의 주변 릿지의 제 1 계단부 상에 놓이는 하향 돌출 범프;
    (ⅱ) 상기 세라믹 퍽의 주변 릿지의 제 1 계단부 상에 놓이는 밑면; 및
    (ⅲ) 상기 세라믹 퍽의 주변 릿지의 제 1 계단부 상에 놓이는 밑면으로서, 폴리머를 포함하는, 밑면;
    중 적어도 하나를 포함하는,
    프로세스 챔버용 클램프 링.
  4. 제 3 항에 있어서,
    상기 환형 몸체는 상기 하향 돌출 범프의 외측 반경방향에 있는 최하부 리세스를 포함하는,
    프로세스 챔버용 클램프 링.
  5. 제 1 항에 있어서,
    상기 클램프 링은:
    (ⅰ) 금속;
    (ⅱ) 세라믹; 및
    (ⅲ) 알루미늄 산화물;
    중 적어도 하나를 포함하는,
    프로세스 챔버용 클램프 링.
  6. 제 1 항에 따른 클램프 링, 및 에지 링을 포함하는 링 조립체로서,
    상기 에지 링은,
    (a) 상기 클램프 링의 최상부면 상에 놓인 푸트(foot)를 포함하는 밴드,
    (b) 상기 클램프 링의 외측면을 감싸는 환형 외벽, 및
    (c) 상기 세라믹 퍽의 주변 릿지의 제 2 계단부를 커버하는 내측 플랜지를 포함하는,
    링 조립체.
  7. 제 6 항에 있어서,
    상기 밴드는:
    (ⅰ) 세라믹; 및
    (ⅱ) 석영;
    중 적어도 하나를 포함하는,
    링 조립체.
  8. 제 1 항에 따른 클램프 링, 및 에지 링을 포함하는 링 조립체로서,
    상기 에지 링은,
    (a) 경사진 상부면과 상기 클램프 링의 최상부면을 커버하는 하부면을 포함하는 웨지-형상 밴드;
    (b) 상기 웨지-형상 밴드로부터 내측 반경방향으로 연장하고, 상기 세라믹 퍽의 주변 릿지의 계단부 상에 놓일 수 있는 푸트를 포함하는 내측 플랜지; 및
    (c) 상기 웨지-형상 밴드로부터 외측 반경방향으로 연장하고, 상기 클램프 링의 외측면을 커버하는 반경 방향 내향면을 포함하는 외측 플랜지를 포함하는,
    링 조립체.
  9. 제 8 항에 있어서,
    상기 내측 플랜지는:
    (ⅰ) 상기 웨지-형상 밴드의 하부면과 관련하여 상향으로 층진(step-up) 최하부면; 및
    (ⅱ) 상부 계단부 및 하부 계단부를 포함하는 내측 반경 둘레부;
    (ⅲ) 상기 웨지-형상 밴드의 경사진 상부면과 결합하는 곡선형 에지;
    중 적어도 하나를 포함하는,
    링 조립체.
  10. 제 8 항에 있어서,
    상기 외측 플랜지는:
    (ⅰ) 상기 웨지-형상 밴드의 하부면과 관련하여 하향 연장하는 최하부벽; 및
    (ⅱ) 경사진 주변 에지;
    중 적어도 하나를 포함하는,
    링 조립체.
  11. 제 8 항에 있어서,
    상기 웨지-형상 밴드는 세라믹 또는 석영을 포함하는,
    링 조립체.
  12. 프로세스 챔버 내에 기판을 수용하기 위한 정전기 척으로서,
    (a) 세라믹 퍽; 및
    (b) 기판 수용면 상에 놓인 기판을 보유하기 위한 정전기력을 발생시키도록 상기 세라믹 퍽 내에 매립되는 전극
    을 포함하고, 상기 세라믹 퍽은,
    (ⅰ) 홈(grooves) 패턴에 의해 분리되는, 메사의 복수의 상승된 플래토를 포함하는 기판 수용면;
    (ⅱ) 상기 세라믹 퍽을 통해 횡단하여 상기 기판 수용면 상의 홈 패턴 내에 위치되는 중앙 포트 및 주변 포트에서 종결되며, 기판 수용면의 상이한 영역에 열전달 가스를 제공할 수 있는 복수의 열전달 가스 도관; 및
    (ⅲ) 제 1 계단부와 상기 제 1 계단부보다 낮고 상기 제 1 계단부의 외측 반경방향에 있는 제 2 계단부를 구비한 주변 릿지를 포함하는,
    프로세스 챔버 내에 기판을 수용하기 위한 정전기척.
  13. 제 12 항에 있어서,
    상기 메사의 상승된 플래토는 각각 10 내지 1000 메사를 포함하는,
    프로세스 챔버 내에 기판을 수용하기 위한 정전기척.
  14. 제 12 항에 있어서,
    상기 메사는:
    (ⅰ) 상승된 원통형 범프;
    (ⅱ) 5 내지 50μ의 평균 직경;
    (ⅲ) 0.5 내지 5㎜의 높이;
    중 적어도 하나를 포함하는,
    프로세스 챔버 내에 기판을 수용하기 위한 정전기척.
  15. 제 12 항에 있어서,
    (ⅰ) 상기 홈 패턴은 서로 상호연결되는 방사상 암 및 원형 암을 포함하고,
    (ⅱ) 상기 주변 포트는 아치형 절개부(cut-out)에서 종결되며,
    (ⅲ) 방사상 내부 가스 밀봉 림 및 방사상 외부 가스 밀봉 림은 상기 주변 포트를 에워싸며,
    (ⅳ) 상기 중앙 포트는 방사형 홈 및 원형 홈의 교차부에서 종결되는,
    것 중 적어도 하나를 포함하는,
    프로세스 챔버 내에 기판을 수용하기 위한 정전기척.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020070041285A 2006-04-27 2007-04-27 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대 KR101387598B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US79601306P 2006-04-27 2006-04-27
US60/796,013 2006-04-27

Publications (2)

Publication Number Publication Date
KR20070105929A KR20070105929A (ko) 2007-10-31
KR101387598B1 true KR101387598B1 (ko) 2014-04-23

Family

ID=38769279

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060129234A KR101380879B1 (ko) 2006-04-27 2006-12-18 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대
KR1020070041285A KR101387598B1 (ko) 2006-04-27 2007-04-27 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060129234A KR101380879B1 (ko) 2006-04-27 2006-12-18 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대

Country Status (4)

Country Link
JP (2) JP5069452B2 (ko)
KR (2) KR101380879B1 (ko)
CN (4) CN101093812A (ko)
TW (2) TWI357629B (ko)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
KR101094982B1 (ko) * 2008-02-27 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US7884925B2 (en) * 2008-05-23 2011-02-08 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
JP4913113B2 (ja) * 2008-11-27 2012-04-11 エイ・ディ・ピー・エンジニアリング・コーポレーション・リミテッド 平板表示素子製造装置の下部電極組立体
CN102396060A (zh) * 2009-04-24 2012-03-28 应用材料公司 具有侧出气口的基板支撑件及方法
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8613288B2 (en) 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
JP5267603B2 (ja) * 2010-03-24 2013-08-21 Toto株式会社 静電チャック
JP2012028539A (ja) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd セラミックス接合体
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
KR101970184B1 (ko) * 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
JP5961917B2 (ja) * 2011-03-24 2016-08-03 住友電気工業株式会社 ウェハ保持体
WO2013049589A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
CN102931133B (zh) * 2012-11-12 2016-02-10 中微半导体设备(上海)有限公司 一种改善硅穿孔工艺中刻蚀均匀性的方法
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
WO2016014138A1 (en) * 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
JP6392612B2 (ja) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 静電チャック
JP6463938B2 (ja) 2014-10-08 2019-02-06 日本特殊陶業株式会社 静電チャック
JP5987966B2 (ja) * 2014-12-10 2016-09-07 Toto株式会社 静電チャックおよびウェーハ処理装置
US10781518B2 (en) * 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
JP6124156B2 (ja) * 2015-04-21 2017-05-10 Toto株式会社 静電チャックおよびウェーハ処理装置
US9870934B2 (en) 2015-07-28 2018-01-16 Micron Technology, Inc. Electrostatic chuck and temperature-control method for the same
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
JP6937753B2 (ja) * 2015-12-07 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 融合されたカバーリング
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
JP6681522B1 (ja) * 2018-09-13 2020-04-15 日本碍子株式会社 ウエハ載置装置
CN113711343A (zh) * 2019-02-05 2021-11-26 应用材料公司 用于吸附用于沉积工艺的掩模的基板支撑件
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
CN110331386A (zh) * 2019-07-09 2019-10-15 长江存储科技有限责任公司 在半导体晶圆上形成薄膜的方法
JP7390880B2 (ja) * 2019-12-05 2023-12-04 東京エレクトロン株式会社 エッジリング及び基板処理装置
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN114959654B (zh) * 2021-02-26 2024-01-09 鑫天虹(厦门)科技有限公司 晶圆承载盘及应用晶圆承载盘的薄膜沉积装置
WO2024015187A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Process kit for a substrate support

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303286A (ja) * 1997-02-25 1998-11-13 Applied Materials Inc 静電チャック及び半導体製造装置
JP2003059913A (ja) 2001-07-25 2003-02-28 Samsung Electronics Co Ltd 半導体装置食刻設備のチャック組立体
KR20030051645A (ko) * 2000-10-06 2003-06-25 램 리서치 코포레이션 정전기력에 의하여 고정되는 플라즈마 공정용 에지 링
JP2005539397A (ja) 2002-09-18 2005-12-22 ラム リサーチ コーポレーション プラズマ処理チャンバー内におけるエッジリング磨耗の補償のための方法および装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62150839A (ja) * 1985-12-25 1987-07-04 Hitachi Ltd 半導体組立装置
JPH07153822A (ja) * 1993-11-30 1995-06-16 Oki Electric Ind Co Ltd プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002170753A (ja) * 1999-11-30 2002-06-14 Ibiden Co Ltd 半導体製造・検査用セラミックヒータ
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6481886B1 (en) * 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP4355159B2 (ja) 2002-04-16 2009-10-28 キヤノンアネルバ株式会社 静電吸着ホルダー及び基板処理装置
CN2585414Y (zh) * 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2004282047A (ja) 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
EP1458019A3 (de) * 2003-03-13 2005-12-28 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Mobiler transportabler elektrostatischer Substrathalter
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7663860B2 (en) * 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
JP4674792B2 (ja) 2003-12-05 2011-04-20 東京エレクトロン株式会社 静電チャック
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20060023395A1 (en) * 2004-07-30 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for temperature control of semiconductor wafers
CN100382275C (zh) * 2004-10-29 2008-04-16 东京毅力科创株式会社 基板载置台、基板处理装置及基板的温度控制方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303286A (ja) * 1997-02-25 1998-11-13 Applied Materials Inc 静電チャック及び半導体製造装置
KR20030051645A (ko) * 2000-10-06 2003-06-25 램 리서치 코포레이션 정전기력에 의하여 고정되는 플라즈마 공정용 에지 링
JP2003059913A (ja) 2001-07-25 2003-02-28 Samsung Electronics Co Ltd 半導体装置食刻設備のチャック組立体
JP2005539397A (ja) 2002-09-18 2005-12-22 ラム リサーチ コーポレーション プラズマ処理チャンバー内におけるエッジリング磨耗の補償のための方法および装置

Also Published As

Publication number Publication date
JP5183092B2 (ja) 2013-04-17
CN102593031B (zh) 2015-09-16
CN102593031A (zh) 2012-07-18
CN101887865A (zh) 2010-11-17
KR20070105929A (ko) 2007-10-31
CN101093811B (zh) 2012-04-25
TW200807606A (en) 2008-02-01
TWI463588B (zh) 2014-12-01
JP2007300119A (ja) 2007-11-15
CN101093812A (zh) 2007-12-26
JP5069452B2 (ja) 2012-11-07
KR101380879B1 (ko) 2014-04-02
JP2007300057A (ja) 2007-11-15
CN101887865B (zh) 2013-06-19
KR20070105828A (ko) 2007-10-31
TWI357629B (en) 2012-02-01
CN101093811A (zh) 2007-12-26
TW200809999A (en) 2008-02-16

Similar Documents

Publication Publication Date Title
KR101387598B1 (ko) 이중 온도 영역을 갖는 정전기 척을 구비한 기판 지지대
US8663391B2 (en) Electrostatic chuck having a plurality of heater coils
US10257887B2 (en) Substrate support assembly
KR101532906B1 (ko) 신속한 온도 구배 제어에 의한 기판 프로세싱
KR102515038B1 (ko) 플라즈마 처리 시스템을 위한 고온 척
US6440221B2 (en) Process chamber having improved temperature control
US7141763B2 (en) Method and apparatus for rapid temperature change and control
KR102139230B1 (ko) 기판 프로세싱 시스템들에서의 온도 제어
KR19980032909A (ko) 원뿔형 돔과 유도성으로 연결된 평행판 플라즈마 반응기
KR200478935Y1 (ko) 플라즈마 처리 챔버를 위한 c-형상 한정 링
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
WO2002034451A1 (en) Method of and structure for controlling electrode temperature
CN113178375A (zh) 载置台和等离子体处理装置
WO2002009162A2 (en) Heated substrate support assembly and method
TWI796824B (zh) 用於調節處理腔中電漿邊緣的裝置及其控制方法
KR20240014259A (ko) 플라즈마 장비
JPH09134879A (ja) ウェハ加熱装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 6