JP2007300119A - 二重温度帯を有する静電チャックをもつ基板支持体 - Google Patents

二重温度帯を有する静電チャックをもつ基板支持体 Download PDF

Info

Publication number
JP2007300119A
JP2007300119A JP2007119297A JP2007119297A JP2007300119A JP 2007300119 A JP2007300119 A JP 2007300119A JP 2007119297 A JP2007119297 A JP 2007119297A JP 2007119297 A JP2007119297 A JP 2007119297A JP 2007300119 A JP2007300119 A JP 2007300119A
Authority
JP
Japan
Prior art keywords
ceramic
electrostatic chuck
substrate
peripheral
clamp ring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007119297A
Other languages
English (en)
Other versions
JP5183092B2 (ja
JP2007300119A5 (ja
Inventor
Alexander Matyushkin
マチュシュキン アレクサンダー
Dennis Koosau
クーソー デニス
Theodoros Panagopoulos
パナゴパウロス セオドロス
John Holland
ホーランド ジョン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=38769279&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP2007300119(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007300119A publication Critical patent/JP2007300119A/ja
Publication of JP2007300119A5 publication Critical patent/JP2007300119A5/ja
Application granted granted Critical
Publication of JP5183092B2 publication Critical patent/JP5183092B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】 チャンバ内で基板の温度プロファイルの調整を可能にするようにチャックとベースが協働する、静電チャックをもつ基板支持体の提供。
【解決手段】 基板処理チャンバ内で基板を受容する静電チャックは、基板受容面と、複数の隔置されたメサを有する対向する裏面とを有するセラミックパックを備えている。静電力を生成して基板を保持するために電極がセラミックパックに組み込まれている。セラミックパックの周辺部と中央部に位置するヒータコイルは、セラミックパックの中央部と周辺部の温度の独立した制御を可能にする。チャックは、空気が保持された溝を有するベースによって支持されている。

Description

相互参照
本願は、全体の内容が参考の為に組み込まれる2006年4月27日に提出された米国仮出願第60/796,093号に対し優先権を主張する。
背景
本発明は、基板処理チャンバ内で基板を保持するための基板支持体に関する。
半導体やディスプレイのような基板の処理において、基板上の層を処理するチャンバ内で基板を保持するために静電チャックが用いられる。典型的な静電チャックは、セラミック又はポリマーのような誘電体で覆われた電極を備えている。電極が荷電された場合、電極と基板に静電気が蓄積し、これに伴う静電力がチャック上で基板を保持する。典型的には、基板の温度は基板の裏側にヘリウムガスを保持することによって制御されて、基板の裏とチャックの表面の境界面にある微視的な隙間全体に熱伝達率が高められる。静電チャックは、チャックを冷却又は加熱するために流体を通過させるチャネルを有するベースによって支持することができる。一旦基板がチャック上でしっかりと保持されると、プロセスガスがチャンバに導入され、プラズマが形成されて、基板が、CVD、PVD、エッチング、注入、酸化、窒化物形成、又は他のプロセスで処理される。
処理中、基板は、基板表面全体に同心処理バンドを生じ得る基板表面全体に放射方向の一様でない処理速度又は他のプロセス特性にしばしば供される。例えば、このような、一様でない処理特性は、基板表面全体の放射方向でバンドを集中処理することができる。一様でない処理特性は、また、チャンバ内のガス化学種又はプラズマ化学種の分配から起こり得る。例えば、チャンバ全体のガスの分配は、基板表面に相対するチャンバ内のガスの注入口と排出口の位置によって変動し得る。また、質量搬送メカニズムは、基板表面の異なる領域全体にガス化学種の消散(dissipation)と到達(arrival)の速度を変えることができる。処理速度の変動は、また、処理チャンバ内で生じる、一様でない熱負荷から起こり得る。このような変動する熱負荷は、例えば、プラズマシースから基板へのエネルギー又はチャンバ壁から反射した放射熱の一様でない結合によって起こり得る。基板全体の処理の変動は、基板の異なる領域、例えば、周辺や中央の基板領域で製造されている能動的電子デバイスと受動的電子デバイスが異なる性質をもつことになってしまうので望ましくない。
従って、基板の処理中、基板表面全体の処理速度や他のプロセス特性の変動を減少させることが望ましい。基板の処理表面全体の異なる領域で温度を制御することができることもまた望ましい。処理中、基板全体の温度プロファイルを制御することもまた望ましい。
本発明のこれらの特徴、態様、及び利点は、本発明の例を示している、以下の説明、添付の特許請求の範囲、及び次の図面に関してより良く理解される。しかしながら、特徴の各々が単に具体的な図面に関連してだけでなく一般的に本発明に使用することができ、且つ本発明がこれらの特徴の組み合わせを含むことは理解されるべきである。
説明
静電チャック20の実施形態は、図1に示すように、パック24の上面であり、且つ基板25を保持する働きをする基板受容面26を有するセラミック本体を備えるセラミックパック24を備えている。セラミックパック24は、また、基板受容面26に対向する裏面28を有する。セラミックパック24は、更に周辺棚29を有し、周辺棚29は、第1ステップ31と、第1ステップ31から第1ステップの下方に放射状に外側にある第2ステップと、を有する。セラミックパック24は、酸化アルミニウム、窒化アルミニウム、酸化ケイ素、炭化ケイ素、窒化ケイ素、酸化チタン、酸化ジルコニウム、及びそれらの混合物の少なくとも1つを含む。セラミックパック24は、セラミック粉末を熱圧し、焼結し、その後、焼結した形を機械加工してチャック24の最終形状を形成することによって作られるセラミックのユニタリモノリス(unitary monolith)でもよい。
図1,図2で示されるように、一変形例において、セラミックパック24の裏面28は、複数の隔置されたメサ30を備えている。メサ30は複数の隙間32により相互に分離されている円筒形マウンドである。使用中、隙間32は、空気のようなガスで充填され、裏面28から他の構造体の下面への熱伝達率を調節する。一実施形態においては、メサ30は円筒形マウンドを含み、表面28から上へ伸びる端子(posts)としての形でさえあり得るし、端子は矩形又は円形の断面形状を有する。メサ30の高さは約10〜約50ミクロン、メサ30の幅(又は直径)は約500〜約5000ミクロンであり得る。しかしながら、メサ30は、他の形状とサイズ、例えば、円錐又は矩形ブロックを、又は種々のサイズの突出でさえもち得る。一変形例においては、メサ30は、適当に小さな、例えば、数十ミクロンであるビードサイズで裏面28をビード噴射することによって形成され、裏面28の材料を浸食作用によってエッチングして介入している隙間32を有する成形されたメサ30を形成する。
セラミックパック24は、また、静電力を生成して基板受容面26の上に配置された基板を保持する、その中に組み込まれた電極36を備えている。電極36は、金属のような導体であり、単極電極又は双極電極34としての形をしている。単極電極は、単一導体を備え、外部電源との単一電気接続を有し、チャック20上に保持された基板全体に電気的バイアスをかけるために、チャンバ内で形成された、上に横たわるプラズマの荷電化学種と協働する。双極電極は2つ以上の導体を有し、その各々がその他に相対してバイアスがかけられ、基板を保持する静電力を生成させる。電極36は、適切なカットアウト領域をもつワイヤメッシュ又は金属プレートとしての形でもよい。例えば、単極電極を備えた電極36は、図示したセラミックパックの中に組み込まれた単一連続ワイヤメッシュでもよい。双極電極を備えた電極36の実施形態は、C型プレートのストレートレッグを挟んで、もう一方と向かい合う一組の充填C型プレートでもよい。電極36は、アルミニウム、銅、鉄、モリブデン、チタニウム、タングステン、又はそれらの合金から構成されてもよい。電極36の一変形例はモリブデンメッシュを備える。電極36は、外部電源から電極36に電力を供給する端子58に接続される。
セラミックパック24は、また、セラミック本体を横断し且つ基板受容面26上のポート40a、bで終わって基板受容面26に熱伝達ガスを供給する複数の熱伝達ガスコンジット38a、bを有する。熱伝達ガスは、例えば、ヘリウムであり、基板の裏面34の下に供給され、上に横たわる基板25から離れ、セラミックパック24の受容面26に熱を導く。例えば、第1ガスコンジット38aは、熱伝達ガスを基板受容面26の中央の加熱帯42aに供給するために位置を決めることができ、第2ガスコンジット38bは、熱伝達ガスを基板受容面26の周辺の加熱帯42bに供給するために位置を決めることができる。セラミックパック24の基板受容面26の中央と周辺の加熱帯42a、bは、基板プロセス面44の対応する部分、例えば、基板25の上にある中央部と周辺部46a、bがそれぞれ異なる温度で維持されることを可能にする。
セラミックパック24の基板受容面26の中央と周辺の加熱帯42a、bでの温度は、更に、セラミックパック24の中に組み込まれた複数のヒータコイル50、52、例えば、第1ヒータコイル50と第2ヒータコイル52を用いて制御される。例えば、ヒータコイル50、52は、相互の周りに離れて同心に放射状に隔置されてもよい。一変形例においては、第1ヒータコイル50はセラミックパック24の中央部54aに位置し、第2ヒータコイル52はセラミックパック24の周辺部54bに位置する。第1と第2のヒータコイル50、52はセラミックパック24の中央部及び周辺部54a、54bの温度の独立した制御を可能にし、更に、セラミックパック24の裏面28上のメサ30と協働して、セラミックパック24の受容面26上に配置された基板25の温度プロファイルの調節を可能にする。
各ヒータコイル50、52は、加熱帯42a、bの温度を独立して制御する能力を与えて、基板25の処理面44の放射方向全体に異なる処理速度又は処理特性を得る。このようにして、異なる温度が2つの加熱帯42a、bで維持されて、基板25の上に横たわる中央部と周辺部46a、bの温度に影響を及ぼし、それによって、基板25の処理中に起こるあらゆる可変ガス化学種の分配又は熱負荷を打ち消すことができる。例えば、基板25の処理面44の周辺部46bのガス化学種が、中央部46aにあるガス化学種ほど活発でないとき、周辺の加熱帯42bの温度が中央の加熱帯42aより高い温度に上げられ、基板25の処理面44全体に、より均一な処理速度又はプロセス特性を与える。
一変形例においては、第1と第2のヒータコイル50、52は、各々横方向に配置される抵抗加熱素子の円形ループを備え、ほぼ同じ平面でも可能である。例えば、ヒータコイル50、52は各々、セラミックパック24の本体内で、内向きに放射状に徐々に螺旋形になる連続同心ループでもよい。ヒータコイル50、52は、また、例えば、電球フィラメントのように、コイルの中心を通過する軸の周りで螺旋形になる螺旋形コイルでもよく、それらはセラミックパック24の内部容積の全体で同心円に位置している。抵抗加熱素子は、異なった電気抵抗材料、例えば、モリブデンから構成されてもよい。一変形例においては、ヒータコイル50、52は各々、約80〜約250℃の温度でセラミックパック24の基板受容面26を維持するのに十分高い電気抵抗を含む。この変形例においては、コイルの電気抵抗は約4〜約12オームである。一実施例においては、第1ヒータコイル50は6.5オームの電気抵抗を有し、第2ヒータコイル52は8.5オームの内部電気抵抗を有する。ヒータコイル50、52には、セラミックパック24を通って伸びる独立した端子58a-dを通って電力が供給される。
ヒータコイル50、52と共に、2つの帯42a、bにおいて熱伝達ガスの圧力も制御され、基板処理速度が基板25全体により均一になる。例えば、2つの加熱帯42a、bは、異なる平衡圧力で熱伝達ガスを保持するようにそれぞれ設定されて、基板25の裏面34から異なる熱伝達率を与えることができる。このことは、2つのコンジット38a、38bのそれぞれを通って、2つの異なる圧力で熱伝達ガスを供給し、基板受容面26の2つの異なる位置で出ることによって達成される。
静電チャック20は、また、セラミックパック24中のホール62a、bを通過する光学温度センサ60a、bを含み、基板25の上に横たわる中央部と周辺部46a、bの温度と接触し、正確に測定する。第1センサ60aはセラミックパック24の中央加熱帯42aに配置され、基板25の中央部46aの温度を読み取り、第2センサ60bはセラミックパック24の周辺の加熱帯42bに位置し、基板25の周辺部46bで対応する温度を読み取る。光学温度センサ60a、bはチャック20の中に位置し、その結果、センサの先端64a、bはセラミックパック24の基板受容面26と平面にあり、センサ先端64a、bはチャック20上に保持された基板25の裏面34に接触することができる。センサ60a、bの脚66a、bはセラミックパック24の本体を通って垂直に伸びている。
一変形例においては、図3に示すように、各光学温度センサ60は、側面72と先端64として働くドーム型最上部74と閉鎖シリンダとしての形をした銅キャップ70を備えた熱センサプローブ68を備える。銅キャップ70は、酸素を含まない銅材料から構成されてもよい。リンプラグ76は、銅キャップ70の最上部74の内側に直接接触して組み込まれている。銅キャップ70の中に組み込まれたリンプラグ76は、熱検知プローブ68に対して、より速くより感受性のある熱応答(response)を与える。銅キャップ70の先端64は、基板を浸食したり傷つけたりせずに異なる基板25と繰り返し接触するのを可能にするドーム型最上部74である。銅キャップ70は、センサプローブ68の中にキャップ70を取り付けるために、エポキシ79を受容する凹型溝78を有する。
リンプラグ76は、赤外放射線の形の熱を、光ファイバ束80を通過する光子に変換する。光ファイバ束80は、ホウケイ酸塩ガラス繊維から構成可能である。光ファイバ束80はスリーブ82に入り、セラミックパックを支持するベースの熱から温度センサを分離するように働く、温度分離ジャケット84で部分的に囲まれている。スリーブ82は、周辺構造から良好に熱絶縁するガラスチュービングでもよいが、銅のような金属からも作ることができる。温度分離ジャケット84は、PEEK、ポリエーテルエーテルケトンから構成されてもよく、Dupontde NemoursCo.デラウェア州からのTeflon(登録商標)(ポリテトラフルオロエチレン)でもよい。
図4A、図4B、図5Aに示すように、基板支持体90は、静電チャック20を支持し固定するために用いられるベース91に固定された静電チャック20を備えている。ベース91は、チャック受容部96と周辺部98を有する上面94をもつ金属本体92を備えている。上面94のチャック受容部96は、静電チャック20のセラミックパック24の裏面28を受容するように適応される。ベース91の周辺部98は、セラミックパック24を超えて外向きに放射状に伸びている。ベース91の周辺部98は、ベースの周辺部の上面に固定され得るクランプリング100を受容するように適応される。ベース91の金属本体92は、ベースの底面104からベース91の上面94まで続く多くの通路102を有し、例えば、セラミックパック24の端子58a-dを保持したり、ガスコンジット38a、bにガスを送り込んだりする。
ベース91の上面94のチャック受容部96は、1つ以上の溝106a、bを備え、セラミックパック24の裏面全体に空気を保持し流す。一実施形態においては、チャック受容部96は周辺の溝106aを備え、その溝はセラミックパック24の裏面28上の複数のメサ30と協働して、セラミックパック24の周辺部54bからの熱伝達率を制御する。他の実施形態においては、中央の溝106bは周辺の溝106aと共に用いられ、セラミックパック24の中央部54aからの熱伝達を調節する。
ベース91の上面94の中の溝106a、bは、セラミックパック24の裏面28の上のメサ30と協働して、更に基板処理面44全体の温度を調節する。例えば、メサ30の形状、サイズ、間隔が、ベース91の上面94とメサ30の接触面の総量を制御し、それによって、境界面の総熱伝達面積を制御する。例えば、メサ30は、セラミックパック24の裏面28の総面積のわずか50%以下、例えば30%がベース91の上面94と実際に接触するような形と大きさである。接触面積が小さいほど、基板処理面44全体の温度は高くなる。また、空気がメサ30の間と裏面28全体に供給されて、更に温度調節器として働く。
セラミックパック24の裏面28上のメサ30は、均一又は不均一パターンで裏面28全体に分配され得る。均一パターンでは、隙間32によって表されるメサ30の間の距離はほぼ同じままであり、不均一間隔では、隙間の距離は裏面28全体で変動する。メサ30の形状とサイズは、また、裏面28全体で変動するように作られてもよい。例えば、メサ30の不均一パターンは、異なる領域で、セラミックパック24の裏面28全体で異なる量の接触面を与えるように配列され、パック24の中央部と周辺部54a、bそれぞれからの熱伝達率を制御するので、上に横たわる基板25の中央部と周辺部46a、bの温度を制御する。
ベース91は、更に、水のような流体を循環させる複数のチャネル110を備えている。循環冷却流体をもつベース91は熱交換器として働き、チャック20の温度を制御して基板25の処理面44全体で望ましい温度を達成する。チャネル110を通過する流体は、チャック20の温度とチャック20上に保持された基板25の温度を上昇又は低下させるために加熱又は冷却可能である。一変形例においては、チャネル110は、約0〜120℃の温度でベース91を維持するために流体が流れ込むことを可能にする形と大きさである。
ベース91は、更に、静電チャック20の電極36に電源を導く電気端子アセンブリ120を備えている。電気端子アセンブリ120はセラミック絶縁ジャケット124を備えている。セラミック絶縁ジャケット124は、例えば、酸化アルミニウムでもよい。複数の端子58はセラミック絶縁ジャケット124の中に組み込まれる。端子58、58a-dは、静電チャック20の電極36とヒータコイル50、52に電力を供給する。例えば、端子58は銅の端子を含んでもよい。
コンタクトバンド140は、図7に示すように、電気端子アセンブリ141の端子58、58a-dを囲むように構成される。各コンタクトバンド140は、例えば、銅合金のような金属を含む。コンタクトバンド140の構造本体は、端子58の周りに取り付けられるように適合されたケーシング142を備えている。ケーシング142の形状は端子58の形状に依存し、任意に端子58の形状を模倣すべきである。ケーシング142の一部又は一片146は、複数のスロットと複数の熱伝達ルーバをもつバンド144を備えている。そのスロット148はパターンで構成され、結果として、スロット148と交互するルーバ150を生じる。一実施形態においては、複数のスロット148とルーバ150は、一片146の上縁部152から一片146の底縁部154又はケーシング142の一部まで伸びる。複数のスロット148とルーバ150はケーシング142の剛性を低下させ、端子58又は端末の外面の周りに適合することを可能にするスプリング様特性を生じる。ケーシング142の一片146上の複数のスロット148の構成は、また、スプリング様特性によって、端子58がケーシング142の露出内面143の実質的な領域と接触させる。このことにより、コンタクトバンド140と端子の間の最適な熱伝達が可能になる。
また、図5Aに示されるように、プロセス堆積物の形成を減少させるとともにベース91で支持された静電チャック20を備えた基板支持体90の周辺領域を浸食から保護するために、リングアセンブリ170が設けられる。図5Bに示される実施形態において、リングアセンブリ170は、ネジ又はボルトのような固定手段でベース91の上面94の周辺部98に固定される、穴175を有する環状本体171を備えるクランプリング100を備えている。クランプリング100は、上面リップ172と外側面176とを有し、上面リップ172は、上面174から内向きに放射状に伸び、外側面176は、クランプリング100の放射状外側周辺部を形成する。リップ172は、セラミックパック24の周辺棚29の第1ステップ31上に適合し且つ載せられている下面173を有する。一変形例において、リップ172は、下面173を有し、下面173は、セラミックパック24とベース91との間に気密シールを形成するように適合されている。下面173は、例えばポリイミドのようなポリマーを備え、良好な気密シールを形成する。クランプリング100は、プラズマによる浸食に耐え得る材料、例えば、ステンレス鋼、チタニウム又はアルミニウムのような金属材料、又は酸化アルミニウムのようなセラミック材料から製造される。
リングアセンブリは、また、図5Bに示されるように、クランプリング100の上面174上に載せられているフット184を有するバンド182を備えたエッジリング180を含む。エッジリング180は、また、処理環境に曝されてクランプリング100上の堆積物をスパッタする堆積を減少又は防止するクランプリング100の外側面176を封入する環状外壁186を有する。エッジリング180は、また、セラミックパック24の周辺棚29の第2ステップ33を覆っているフランジ190を有する。フランジ190は、基板25のオーバハングエッジ196の下で終わっている突起194を備えている。フランジ190は、基板25の周辺を取り囲むエッジリング180の内周を画成し、処理中、基板25で覆われないセラミックパック24の領域を保護する。リングアセンブリ170のクランプリング100とエッジリング180は、基板25の処理中、ベース91上に支持された静電チャック20上のプロセス堆積物の形成を減少させるとともに浸食から保護するために協働する。エッジリング180は、また、基板支持体90の露出側面を保護し、処理中の浸食を減少させる。リングアセンブリ170は、クランプリング100、エッジリング180の露出面から堆積物を洗浄するために簡単に取り外すことができ、その結果、基板支持体90の全体を分解して洗浄する必要がない。エッジリング180は、例えば、石英のようなセラミックから形成可能である。
静電チャック20及びベース91を備える基板支持体90上のプロセス堆積物の形成を減少させると共に浸食から保護することができるリングアセンブリの他の変形例が、図5Cに示されている。この変形例では、クランプリング100が上面174と底面192を有する環状本体171を備え、上面174は、エッジリング180を支持し、底面192は、ベース91の上面94の周辺部98に固定されるように適合された複数の穴175を備える。環状本体171は、穴175と噛み合うネジやボルト169により、ベース91の上面94の周辺部98に固定されている。クランプリング100は、また、上部リップ172を有し、上部リップ172は、セラミックパック24の周辺棚29の第1ステップ上に載るように、放射状内側に伸びている。クランプリング100の上部リップ172は、また、下方に突き出たバンプ192と、下方に突き出たバンプ193を有してもよく、バンプ192は、接触面積を最小にするようにセラミックパック24の周辺棚29の第1ステップ31に載せてあり、バンプ193は、放射状外底凹部194から外に伸びている。クランプリング100の上部リップ172は、下面173を備え、下面173は、セラミックパック24の周辺棚29の第1ステップ31に載せてあり、この下面173は、一変形例において、ポリマー層(例えば、ポリイミド)のようなポリマーを備える。下面173は、また、バンプ193の表面でもよく、例えば、バンプ193は、下面の材料から形成可能である。クランプリング100の外側部分194は、放射状外側面176を備え、放射状外側面176は、平坦であり、ベース91の外径部196で終結する。クランプリング100は、また、フット197を有し、フット197は、放射状外側面176から下方に伸び、ベース91の上面94の周辺部分98に載せてある。クランプリング100は、アルミニウム、チタニウム、ステンレス鋼のような金属や、酸化アルミニウムのようなセラミックから形成可能である。
図5Cに示されるようにエッジリング180の変形例は、バンド182を備え、バンド182は、傾いた上面183を備え、ウェッジ状になっている。バンド182の下面185は、クランプリング100の上面174を覆っている。エッジリング180は、また、内側フランジ187を有し、内側フランジ187は、ウェッジ状バンド182から放射状に内側に伸びている。内側フランジ187は、底面188を備え、底面188は、ウェッジ状バンド182の下面185に対し、階段状に上がっている。内側フランジ187は、また、フット189を有し、フット187は、セラミックパック24の周辺棚29の第1ステップ33に載せてもよい。内側フランジ187は、更に、上面191を備え、上面191は、上部ステップ232と下部ステップ234とを備える放射状内側周辺部を有する。上部ステップ232と下部ステップ234は、放射状内側方向に沿って高さが階段状に下がっている。内側フランジ187は、また、湾曲エッジ236を有し、湾曲エッジ236は、ウェッジ状バンド182の傾斜上面183に接合する。エッジリング180の外側フランジ238は、ウェッジ状バンド182から放射状外側に伸びている。外側フランジ238は、放射状内側に面する面240を備え、その面240は、クランプリング100の外側面176を覆っている。外側フランジ238は、更に、底壁242を有し、底壁242は、ウェッジ状バンド182の下面185に対し下方に伸びている。外側フランジ238は、また、傾斜周辺エッジ244を有し、傾斜周辺エッジ244は、この領域の浸食を減少させる。エッジリング180は、また、石英のようなセラミックから形成可能である。
静電チャック20の他の実施形態は、図4C,図4C1に示されるように、基板受容面26を備えたセラミックパック24を備える。基板受容面26は、溝パターン250を備え、溝パターン250は、互いに相互接続された円形アーム254と放射状アーム252とを備える。これらの溝250の間にあるのは、離間メサ258の隆起プラトー256である。示された変形例において、隆起プラトー256は、弧状側部エッジ257を有し、ほぼ三角形又は台形状になっている。しかし、隆起プラトー256は、また、他の形状でもよく、非対称パターンで基板受容面26を横切って分布されてもよい。隆起プラトー256の各々は、複数のメサ258(例えば、約10から約1000個のメサ)により画成されている。一変形例において、メサ258は、(例えば、円筒または円弧投射のように成形された)隆起円筒バンプである。例えば、メサ258は、約5ミクロンから50ミクロンの平均直径、約0.5mmから5mmの高さを有する円筒でもよい。メサ258は、基板からセラミックパック24の異なる領域までの熱伝達率を調整するように、上にある基板との接触面積を制御する為に、表面26を横切る空間分布、大きさ、形状で提供される。
複数の熱伝達ガスコンジット38a、b(図1参照)は、セラミックパック24を通って横断し、基板受容面上の溝パターン250に置かれた一以上の中央ポート40a、周辺ポート40b内で終結する。中央ポート40a、周辺ポート40bは、熱伝達ガスを、基板受容面26の中央帯42a、周辺帯42bにそれぞれ提供することができる。周辺ポート40bは、放射状内側ガス密閉リム260と、放射状外側ガス密閉リム262により囲まれた弧状カットアウト259内で終結し、周辺帯42bを画成する。中央ポート40aは、溝250の放射状アーム254と中央アーム252との交差点で終結可能であり、中央帯42aに対応する領域を画成する。セラミックパック24の基板受容面26の中央加熱領域42a、周辺加熱領域42bは、基板25の上にある対応する中央部分46a、周辺部分46bが異なる温度で維持されることを許容する(図8)。
この変形例において、セラミックパック24は、平坦かつメサを持たない、或いは前述したようにメサを持ち得る基板受容面26に対向する後側面28(図示せず)を有する。セラミックパック24は、また、第1ステップ31と第2ステップ33を有する周辺棚29を有し、第2ステップ33は、第1ステップ31から放射状に外側であり、第1ステップ31より低い。セラミックパック24は、セラミック粉末のホットプレス及び焼結、焼結されたセラミックフォームを機械加工してパック24の最終形状を形成することにより、酸化アルミニウム、窒化アルミニウム、酸化珪素、炭化珪素、窒化珪素、酸化チタン、酸化ジルコニウム、これらの組み合わせから形成可能である。溝250、メサ258,ガスコンジット38a、b、ポート40a、b、他の構造は、セラミック構造体へと機械加工される。
図4Dに示される変形例において、ベース91は、チャック受容部分96と、セラミック24を越えて放射状外側に伸びる周辺部分98とを有する上面94(図示せず)を備えた金属体92を備える。この変形例において、ベース91は、熱交換機として機能するように、水のような流体を循環させる為の単一チャネル110を備える。流体循環用チャネル110は、ベース91にわたり、不均一または非対称に分布された複数の湾曲ハンプ(hump)領域260a−cを有する蛇行(serpentine)チャネルを備える。より長い全長のチャネル110は、使用中に熱くなるベース91の領域を通過或いはその領域を横切るように備えられ、より短い全長のチャネル110は、ベース91の冷えた領域で使用される。非対称の流体循環用チャネル110は、ベース91にわたり均一な温度を維持するように、流体の流れを制御する。

静電チャック20とベース91を備えている基板支持体90は、基板処理装置200内で用いることができ、例示的変形例を図8に示す。装置200は封入壁202を備えたチャンバ201を含み、一変形例においては、チャンバ201はDPSアドバンテージチャンバである。ガス源204は、ガスホール203を通ってチャンバにプロセスガスを供給し、そのプロセスガスは、エッチングガス、例えば、塩素又は塩化水素のようなハロゲン含有ガス、又はCVD又はPVDガスのような堆積ガス、例えば、誘電体又は半導体材料を堆積させるガスのような基板25を処理することができる。ガスエナジャイザー208は、プロセスガスそれぞれにRFエネルギーを容量結合又は誘導結合するために、又はプロセスガス(図示せず)にマイクロ波エネルギーを伝達するために供給され、励起したガスを形成して基板25を処理する。例えば、プロセスガスは、電極電源230を介して静電チャック20の電極36にRF電圧を印加し、チャンバ201の壁202を電気的に接地することによって、容量的に励起させてもよい。電極電源230は、また、基板25を静電的に保持するために、チャック20の電極36を荷電するためにDCチャッキング電圧を供給する。誘導コイル205を介してプロセスガスに誘導エネルギーを結合することによって、プロセスガスを励起することもできる。或いは、遠隔チャンバ(図示せず)内のマイクロ波コンジットを介してプロセスガスにマイクロ波エネルギーを結合することによって、プロセスガスを励起させることができる。基板25は、チャンバ201内で静電チャック20の受容面26の上に保持され、ベース91の上に載せられている。
チャンバは、メモリと周辺コンピュータ要素に結合した、典型的には、カリフォルニア州サンタクララ、インテルコーポレーションから市販されているペンティアムプロセッサのような中央演算処理装置(CPU)を有するコンピュータ308として含むコントローラ212で制御される。メモリには、CD又はフロッピードライブのような取り外し可能な記憶装置、ハードドライブのような取り外し不可能な記憶装置、ランダムアクセスメモリ(RAM)が含まれてもよい。コントローラ212は、更に、アナログやデジタルの入出力ボードと、モーターコントローラボードを備えたハードウェアインタフェースを備えることができる。オペレータは、ディスプレイ又はデータ入力デバイスを介してチャンバコントローラ212と連通してもよい。具体的なスクリーン又は機能を選択するために、オペレータはキーボード又はライトペンのようなデータ入力デバイスを用いて、選択を入力する。
コントローラ212は、また、チャンバ201で行われるプロセスを制御し監視することができるプログラムコードを含む、メモリに記憶されたコンピュータ読取り可能なプログラムを含む。コンピュータ読取可能なプログラムは、あらゆる慣用のコンピュータ読取可能なプログラミング言語でも書くことができる。適切なプログラムコードが、慣用のテキストエディタを用いて、単一又は複数ファイルに入力され、コンピュータが使えるメモリの媒体で記憶されるか、又は組み込まれる。入力されたコードテキストが高水準言語である場合には、コードはコンパイルされ、得られたコンパイラコードは、その後、プレコンパイルしたライブラリルーチンのオブジェクトコードと関連づけられる。関連づけられコンパイルされたオブジェクトコードを実行するために、使用者はオブジェクトコードを呼び出し、CPUにコードを読ませ実行させ、プログラムにおいて確認されたタスクを行う。プログラムは温度制御命令セットを含み、例えば、チャック20のセラミックパック24の中の第1と第2のヒータコイル50、52に異なる電力レベルを独立して適用し、コンジット38a、bを通る熱伝達ガスの流れを調整し、ベース91のチャネル110を通る流体の流量を制御することによって、基板102の異なる領域144、146の温度を制御する。プロセスフィードバック制御命令セットは、光学温度センサ60a、bから温度信号を受容する温度監視命令セット間のフィードバック制御ループとして働くことができ、ヒータコイル50、52、コンジット38a、bを通る熱伝達ガスの流れ、ベース91のチャネル110を通る流体の流れのようなチャンバ要素に適用される電力を調整する。一組のタスクを行うために別々の命令セットとして記載されるが、これらの命令セットの各々は相互に統合され得るか又は重複し得る。従って、本明細書に記載されるチャンバコントローラ212とコンピュータ読取可能なプログラムは、本明細書に記載される機能的ルーチンの個々の変形例に制限されるべきではない。
本発明はそれらのある好ましい変形例に関してはかなり詳細に記載してきたが、他の変形例も可能である。例えば、基板支持体は、本明細書に記載されたものよりも、他のチャンバや他のプロセスに使用し得る。従って、添付の特許請求の範囲は、本明細書に含まれる好ましい変形例の説明に制限されるべきではない。
図1は、静電チャックの一実施形態の概略側断面図である。 図2は、図1のチャックの概略底面図である。 図3は、光学温度センサの概略側面図である。 図4Aは、ベースと静電チャックを備えた基板支持体の実施形態の上側(図4A)の概略斜視図である。 図4Bは、ベースと静電チャックを備えた基板支持体の実施形態の底側(図4B)の概略斜視図である。 図4Cは、ベースと静電チャックを備えた基板支持体の他の実施形態の上側の概略斜視図である。 図4C1は、図4Cにおいて、円で囲まれた区分4C1の詳細な斜視図であり、周辺部と、取り囲むガス密閉リムを備えた周辺ゾーンを示す。 図4Dは、図4Cの支持体のベースの底側平面図である。 図5Aは、図4Aと図4Bの基板支持体上のクランプリング上方にエッジリングを備えるリングアセンブリの一実施形態の概略側断面図である。 図5Bは、図5Aのリングアセンブリの詳細である。 図5Cは、基板支持体上のクランプリング上方にエッジリングを備えるリングアセンブリの他の実施形態の概略側断面図である。 図6は、ベースの電気コネクタアセンブリの実施形態の概略側断面図である。 図7は、コンタクトバンドの実施形態の概略側断面図である。 図8は、基板支持体を有する基板処理チャンバの実施形態の概略側面図である。
符号の説明
20…静電チャック、24…セラミックパック、25…基板、26…基板受容面、28…裏面、29…周辺棚、30…メサ、31…第1ステップ、32…隙間、33…第2ステップ、34…電極、36…電極、38a…第1ガスコンジット、38b…第2ガスコンジット、40…ポート、42a…中央加熱帯、42b…周辺加熱帯、44…処理面、46a…中央部、46b…周辺部、50…ヒータコイル、52…ヒータコイル、54a…周辺部、54b…中央部、58…端子、60a、b…センサ、64a…センサ先端、68…熱センサプローブ、66a、b…脚、70…銅キャップ、72…側面、74…ドーム型最上部、78…凹型溝、79…エポキシ、80…光ファイバ束、82…スリーブ、84…温度分離ジャケット、91…ベース、92…金属本体、94…上面、96…チャック受容部、98…周辺部、102…通路、106…溝、120…電気端子アセンブリ、124…セラミック絶縁ジャケット、140…コンタクトバンド、141…電気端子アセンブリ、142…ケーシング、143…露出内面、144…バンド、146…一片、154…底縁部、170…リングアセンブリ、172…リップ、173…下面、176…外側面、179…ポリマー層、180…エッジリング、182…バンド、184…フット、186…環状外壁、190…フランジ、194…突起、196…オーバハングエッジ、200…基板処理装置、201…チャンバ、202…封入壁、203…ガスホール、204…ガス源、208…ガスエナジャイザー、212…コントローラ、230…電極電源。

Claims (30)

  1. 静電チャックおよび周辺部分を支持する為にチャック受容部分を備えた上面を有するベースを備えるプロセスチャンバ用クランプリングであって、前記静電チャックは、第1ステップおよび第2ステップを有する周辺棚を備えたセラミックパックを備え、前記セラミックパックの前記第2ステップにエッジリングが載せてあり、前記クランプリングは:
    (a)前記エッジリングを支持する為の上面と、前記ベースの前記上面の前記周辺部分に固定されるように適合された複数の穴を備えた底面と、を有する環状本体と;
    (b)前記セラミックパックの前記周辺棚の第1ステップに載るように放射状に内側に伸びている上部リップと;
    (c)放射状外側面と;
    (d)前記ベースの前記上面の前記周辺部分に載るように放射状外側面から下方に伸びているフットと;
    を備える、前記クランプリング。
  2. 前記環状本体は、前記穴と噛み合うネジ又はボルトにより前記ベースの前記上面の前記周辺部分に固定されている、請求項1に記載のクランプリング。
  3. 前記上部リップは、下方に突き出たバンプを備え、前記バンプは、前記セラミックパックの前記周辺棚の前記第1ステップに載っている、請求項1に記載のクランプリング。
  4. 前記環状本体は、前記下方に突き出たバンプの放射状外側に底凹部を備える、請求項3に記載のクランプリング。
  5. 前記クランプリングの前記上部リップは、下面を備え、前記下面は、前記セラミックパックの前記周辺棚の前記第1ステップに載っている、請求項1に記載のクランプリング。
  6. 前記下面は、ポリマーを備える、請求項5に記載のクランプリング。
  7. 前記ポリマーは、ポリイミドを備える、請求項6に記載のクランプリング。
  8. 前記クランプリングは、金属又はセラミックを備える、請求項1に記載のクランプリング。
  9. 前記セラミックは、酸化アルミニウムを備える、請求項8に記載のクランプリング。
  10. (i)ベース、(ii)ベース上の静電チャックであって、ステップを備えた周辺棚を有するセラミックパックを備える、前記静電チャック、(iii)前記セラミックパックの前記周辺棚の前記ステップに載るように放射状内側に伸びている上部リップを有するクランプリング、を有する処理チャンバ用エッジリングであって、
    (a)クランプリングの前記上面に載っているフットを備えるバンドと;
    (b)前記クランプリングの前記外側面を封入する環状外壁と;
    (c)前記セラミックパックの前記周辺棚の前記ステップを覆う内側フランジと;
    を備える、前記エッジリング。
  11. 前記バンドは、セラミックを備える、請求項10に記載のエッジリング。
  12. 前記セラミックは、石英を備える、請求項11に記載のエッジリング。
  13. (i)ベース、(ii)ベース上の静電チャックであって、ステップを備えた周辺棚を有するセラミックパックを備える、前記静電チャック、(iii)上面と上部リップを有するクランプリングであって、前記上部リップは、前記セラミックパックの前記周辺棚の前記ステップに載るように前記上面から放射状内側に伸びている、前記クランプリング、を有する処理チャンバ用エッジリングであって、
    (a)傾斜した上面と、前記クランプリングの前記上面を覆う下面とを備えるウェッジ状バンドと;
    (b)前記ウェッジ状バンドから放射状内側に伸びている内側フランジであって、前記セラミックパックの前記周辺棚の前記ステップに載り得るフットを備える、前記内側フランジと;
    (c)前記ウェッジ状バンドから放射状外側に伸びている外側フランジであって、前記クランプリングの前記外側面を覆う放射状内側に面する表面を備える、前記外側フランジと;
    を備える、前記エッジリング。
  14. 前記内側フランジは、底面を備え、前記底面は、前記ウェッジ状バンドの底面に対して階段状に上がる、請求項13に記載のエッジリング。
  15. 前記内側フランジは、上部ステップと下部ステップを備える放射状内側周辺を備える、請求項13に記載のエッジリング。
  16. 前記内側フランジは、湾曲エッジを備え、前記湾曲エッジは、前記ウェッジ状バンドの傾斜上面を接合する、請求項13に記載のエッジリング。
  17. 前記外側フランジは、底壁を備え、前記底壁は、前記ウェッジ状バンドの前記下面に対して下方に伸びている、請求項13に記載のエッジリング。
  18. 前記外側フランジは、傾斜周辺エッジを備える、請求項13に記載のエッジリング。
  19. 前記ウェッジ状バンドは、セラミックを備える、請求項13に記載のエッジリング。
  20. 前記セラミックは、石英を備える、請求項19に記載のエッジリング。
  21. プロセスチャンバ内で基板を受容する為の静電チャックにおいて:
    (a)セラミックパックであって、(i)メサの複数の隆起プラトーを備える、基板受容面であって、前記隆起プラトーは、溝パターンにより隔置されている、前記基板受容面;(ii)前記セラミックパックを横断し、前記基板受容面の溝パターン内に置かれた周辺ポートおよび中央ポート内で終結する複数の熱伝達ガスコンジットであって、前記熱伝達ガスを前記基板受容面の異なるゾーンに提供し得る、前記熱伝達コンジット;(iii)第1ステップおよび第2ステップを備えた周辺棚であって、前記第2ステップは、前記第1ステップより放射状外向きであり、前記第1ステップより低い、前記周辺棚;を備える、前記セラミックパックと;
    (b)前記基板受容面上に置かれた基板を保持する為に静電力を生成するように前記セラミックパック内に組み込まれた電極と;
    を備える、前記静電チャック。
  22. 前記メサの隆起プラトーは、各々が、約10個から約1000個のメサを備える、請求項21に記載の静電チャック。
  23. 前記メサは、隆起円筒バンプである、請求項21に記載の静電チャック。
  24. 前記メサは、約5ミクロンから約50ミクロンの大きさの平均直径を有する、請求項21に記載の静電チャック。
  25. 前記メサは、約0.5mmから約5mmの高さを有する、請求項21に記載の静電チャック。
  26. 前記溝パターンは、相互に接続された放射状アーム及び円形アームを備える、請求項21に記載の静電チャック。
  27. 前記周辺ポートは、弧状カットアウト内で終結する、請求項21に記載の静電チャック。
  28. 前記周辺ポートを囲む放射状内側及び外側のガス密閉リムを備える、請求項27に記載の静電チャック。
  29. 前記中央ポートは、前記中央溝及び放射状溝の交差点で終結する、請求項21に記載の静電チャック。
  30. 前記セラミックパックは、酸化アルミニウム、窒化アルミニウム、酸化ケイ素、炭化ケイ素、窒化ケイ素、酸化チタン、酸化ジルコニウム、及びそれらの混合物を備える、請求項21に記載の静電チャック。
JP2007119297A 2006-04-27 2007-04-27 二重温度帯を有する静電チャックをもつ基板支持体 Active JP5183092B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US79601306P 2006-04-27 2006-04-27
US60/796,013 2006-04-27

Publications (3)

Publication Number Publication Date
JP2007300119A true JP2007300119A (ja) 2007-11-15
JP2007300119A5 JP2007300119A5 (ja) 2010-06-17
JP5183092B2 JP5183092B2 (ja) 2013-04-17

Family

ID=38769279

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006314598A Active JP5069452B2 (ja) 2006-04-27 2006-11-21 二重温度帯を有する静電チャックをもつ基板支持体
JP2007119297A Active JP5183092B2 (ja) 2006-04-27 2007-04-27 二重温度帯を有する静電チャックをもつ基板支持体

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006314598A Active JP5069452B2 (ja) 2006-04-27 2006-11-21 二重温度帯を有する静電チャックをもつ基板支持体

Country Status (4)

Country Link
JP (2) JP5069452B2 (ja)
KR (2) KR101380879B1 (ja)
CN (4) CN101093812A (ja)
TW (2) TWI357629B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012028539A (ja) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd セラミックス接合体
JP2012525014A (ja) * 2009-04-24 2012-10-18 アプライド マテリアルズ インコーポレイテッド 側部ガス出口を有する基板支持体および方法
CN107112262A (zh) * 2014-12-31 2017-08-29 应用材料公司 带有多个加热区的基板支撑件
WO2020054682A1 (ja) * 2018-09-13 2020-03-19 日本碍子株式会社 ウエハ載置装置
JP2021090018A (ja) * 2019-12-05 2021-06-10 東京エレクトロン株式会社 エッジリング及び基板処理装置

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7501605B2 (en) * 2006-08-29 2009-03-10 Lam Research Corporation Method of tuning thermal conductivity of electrostatic chuck support assembly
KR101094982B1 (ko) * 2008-02-27 2011-12-20 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 처리 장치 및 플라즈마 에칭 처리 방법
US7884925B2 (en) * 2008-05-23 2011-02-08 Lam Research Corporation Electrical and optical system and methods for monitoring erosion of electrostatic chuck edge bead materials
JP4913113B2 (ja) * 2008-11-27 2012-04-11 エイ・ディ・ピー・エンジニアリング・コーポレーション・リミテッド 平板表示素子製造装置の下部電極組立体
US8270141B2 (en) * 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
US8613288B2 (en) 2009-12-18 2013-12-24 Lam Research Ag High temperature chuck and method of using same
JP5267603B2 (ja) * 2010-03-24 2013-08-21 Toto株式会社 静電チャック
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
KR101970184B1 (ko) * 2011-03-01 2019-04-18 어플라이드 머티어리얼스, 인코포레이티드 얇은 피가열 기판 지지체
JP5961917B2 (ja) * 2011-03-24 2016-08-03 住友電気工業株式会社 ウェハ保持体
WO2013049589A1 (en) * 2011-09-30 2013-04-04 Applied Materials, Inc. Electrostatic chuck with temperature control
CN102931133B (zh) * 2012-11-12 2016-02-10 中微半导体设备(上海)有限公司 一种改善硅穿孔工艺中刻蚀均匀性的方法
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
WO2016014138A1 (en) * 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
JP6392612B2 (ja) * 2014-09-30 2018-09-19 日本特殊陶業株式会社 静電チャック
JP6463938B2 (ja) 2014-10-08 2019-02-06 日本特殊陶業株式会社 静電チャック
JP5987966B2 (ja) * 2014-12-10 2016-09-07 Toto株式会社 静電チャックおよびウェーハ処理装置
US10781518B2 (en) * 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
JP6124156B2 (ja) * 2015-04-21 2017-05-10 Toto株式会社 静電チャックおよびウェーハ処理装置
US9870934B2 (en) 2015-07-28 2018-01-16 Micron Technology, Inc. Electrostatic chuck and temperature-control method for the same
TWI757242B (zh) * 2015-08-06 2022-03-11 美商應用材料股份有限公司 用於晶圓處理系統的熱管理系統及方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
JP6937753B2 (ja) * 2015-12-07 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 融合されたカバーリング
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10246777B2 (en) * 2017-06-12 2019-04-02 Asm Ip Holding B.V. Heater block having continuous concavity
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
CN113711343A (zh) * 2019-02-05 2021-11-26 应用材料公司 用于吸附用于沉积工艺的掩模的基板支撑件
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
CN110331386A (zh) * 2019-07-09 2019-10-15 长江存储科技有限责任公司 在半导体晶圆上形成薄膜的方法
US11551951B2 (en) 2020-05-05 2023-01-10 Applied Materials, Inc. Methods and systems for temperature control for a substrate
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
TWI748774B (zh) * 2020-12-01 2021-12-01 天虹科技股份有限公司 晶圓承載盤及應用晶圓承載盤的薄膜沉積裝置
CN114959654B (zh) * 2021-02-26 2024-01-09 鑫天虹(厦门)科技有限公司 晶圆承载盘及应用晶圆承载盘的薄膜沉积装置
WO2024015187A1 (en) * 2022-07-11 2024-01-18 Applied Materials, Inc. Process kit for a substrate support

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303286A (ja) * 1997-02-25 1998-11-13 Applied Materials Inc 静電チャック及び半導体製造装置
JP2002076105A (ja) * 2000-06-14 2002-03-15 Anelva Corp 静電吸着機構及び表面処理装置
JP2004006813A (ja) * 2002-04-16 2004-01-08 Anelva Corp 静電吸着ホルダー及び基板処理装置
JP2004282047A (ja) * 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
JP2005191561A (ja) * 2003-12-05 2005-07-14 Tokyo Electron Ltd 静電チャック

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62150839A (ja) * 1985-12-25 1987-07-04 Hitachi Ltd 半導体組立装置
JPH07153822A (ja) * 1993-11-30 1995-06-16 Oki Electric Ind Co Ltd プラズマ処理装置
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2002170753A (ja) * 1999-11-30 2002-06-14 Ibiden Co Ltd 半導体製造・検査用セラミックヒータ
JP4209057B2 (ja) * 1999-12-01 2009-01-14 東京エレクトロン株式会社 セラミックスヒーターならびにそれを用いた基板処理装置および基板処理方法
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
US6481886B1 (en) * 2000-02-24 2002-11-19 Applied Materials Inc. Apparatus for measuring pedestal and substrate temperature in a semiconductor wafer processing system
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP4620879B2 (ja) * 2001-01-23 2011-01-26 キヤノンアネルバ株式会社 基板温度制御機構及び真空処理装置
KR100397891B1 (ko) 2001-07-25 2003-09-19 삼성전자주식회사 반도체 장치 식각설비의 척 조립체
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
CN2585414Y (zh) * 2002-11-08 2003-11-05 冯自平 具有温度均衡通道的散热器
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
EP1458019A3 (de) * 2003-03-13 2005-12-28 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Mobiler transportabler elektrostatischer Substrathalter
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7072165B2 (en) * 2003-08-18 2006-07-04 Axcelis Technologies, Inc. MEMS based multi-polar electrostatic chuck
US7663860B2 (en) * 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20060023395A1 (en) * 2004-07-30 2006-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for temperature control of semiconductor wafers
CN100382275C (zh) * 2004-10-29 2008-04-16 东京毅力科创株式会社 基板载置台、基板处理装置及基板的温度控制方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10303286A (ja) * 1997-02-25 1998-11-13 Applied Materials Inc 静電チャック及び半導体製造装置
JP2002076105A (ja) * 2000-06-14 2002-03-15 Anelva Corp 静電吸着機構及び表面処理装置
JP2004006813A (ja) * 2002-04-16 2004-01-08 Anelva Corp 静電吸着ホルダー及び基板処理装置
JP2004282047A (ja) * 2003-02-25 2004-10-07 Kyocera Corp 静電チャック
JP2005191561A (ja) * 2003-12-05 2005-07-14 Tokyo Electron Ltd 静電チャック

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012525014A (ja) * 2009-04-24 2012-10-18 アプライド マテリアルズ インコーポレイテッド 側部ガス出口を有する基板支持体および方法
JP2012028539A (ja) * 2010-07-23 2012-02-09 Ngk Spark Plug Co Ltd セラミックス接合体
CN107112262A (zh) * 2014-12-31 2017-08-29 应用材料公司 带有多个加热区的基板支撑件
JP2018505551A (ja) * 2014-12-31 2018-02-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複数の加熱ゾーンを有する基板支持体
CN107112262B (zh) * 2014-12-31 2021-09-03 应用材料公司 带有多个加热区的基板支撑件
WO2020054682A1 (ja) * 2018-09-13 2020-03-19 日本碍子株式会社 ウエハ載置装置
JP6681522B1 (ja) * 2018-09-13 2020-04-15 日本碍子株式会社 ウエハ載置装置
US11810767B2 (en) 2018-09-13 2023-11-07 Ngk Insulators, Ltd. Wafer placement device
JP2021090018A (ja) * 2019-12-05 2021-06-10 東京エレクトロン株式会社 エッジリング及び基板処理装置
JP7390880B2 (ja) 2019-12-05 2023-12-04 東京エレクトロン株式会社 エッジリング及び基板処理装置

Also Published As

Publication number Publication date
JP5183092B2 (ja) 2013-04-17
CN102593031B (zh) 2015-09-16
CN102593031A (zh) 2012-07-18
CN101887865A (zh) 2010-11-17
KR20070105929A (ko) 2007-10-31
CN101093811B (zh) 2012-04-25
TW200807606A (en) 2008-02-01
TWI463588B (zh) 2014-12-01
CN101093812A (zh) 2007-12-26
JP5069452B2 (ja) 2012-11-07
KR101380879B1 (ko) 2014-04-02
JP2007300057A (ja) 2007-11-15
CN101887865B (zh) 2013-06-19
KR101387598B1 (ko) 2014-04-23
KR20070105828A (ko) 2007-10-31
TWI357629B (en) 2012-02-01
CN101093811A (zh) 2007-12-26
TW200809999A (en) 2008-02-16

Similar Documents

Publication Publication Date Title
JP5183092B2 (ja) 二重温度帯を有する静電チャックをもつ基板支持体
US8663391B2 (en) Electrostatic chuck having a plurality of heater coils
US10257887B2 (en) Substrate support assembly
JP5183058B2 (ja) 急速温度勾配コントロールによる基板処理
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
JP4511722B2 (ja) 化学気相堆積用リアクタ
US20010042594A1 (en) Process chamber having improved temperature control
KR19980080809A (ko) 열처리 장치
JPH03108323A (ja) ヒータ組立体及び基板の加熱方法
TW201421601A (zh) 於基板處理系統中控制溫度
US20220262657A1 (en) Pedestal with multi-zone heating
US6508062B2 (en) Thermal exchanger for a wafer chuck
CN111383882B (zh) 等离子体处理装置及用于该处理装置的基片支座
US20060243385A1 (en) Device for producing electroconductive passages in a semiconductor wafer by means of thermomigration
TW201944855A (zh) 用於hdp cvd的帶有嵌入式加熱元件和嵌入式rf線圈的進階陶瓷蓋及感應耦合電漿處理腔室

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100427

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100427

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101108

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120112

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120412

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121019

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130115

R150 Certificate of patent or registration of utility model

Ref document number: 5183092

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160125

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250