CN113169111A - 具有改良的热耦合以用于热敏感处理的静电吸盘 - Google Patents

具有改良的热耦合以用于热敏感处理的静电吸盘 Download PDF

Info

Publication number
CN113169111A
CN113169111A CN201980080012.0A CN201980080012A CN113169111A CN 113169111 A CN113169111 A CN 113169111A CN 201980080012 A CN201980080012 A CN 201980080012A CN 113169111 A CN113169111 A CN 113169111A
Authority
CN
China
Prior art keywords
electrostatic chuck
openings
disposed
dielectric
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980080012.0A
Other languages
English (en)
Inventor
邦妮·T·基亚
罗斯·马歇尔
松下智治
蔡振雄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113169111A publication Critical patent/CN113169111A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F24HEATING; RANGES; VENTILATING
    • F24FAIR-CONDITIONING; AIR-HUMIDIFICATION; VENTILATION; USE OF AIR CURRENTS FOR SCREENING
    • F24F3/00Air-conditioning systems in which conditioned primary air is supplied from one or more central stations to distributing units in the rooms or spaces where it may receive secondary treatment; Apparatus specially designed for such systems
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供了具有改善ESC与基板之间的热耦合的内部气体通道的静电吸盘(ESC)的实施方式,以及包含该静电吸盘的基板支撑件和处理腔室。在一些实施方式中,静电吸盘包括电极、介电体与气体分配通道,该介电体具有圆盘形状并覆盖该电极,该介电体包含中心区域和周围区域,且该介电体包含下表面与上表面,该下表面具有中心开口,该上表面在该中心区域中具有第一开口以及在该周围区域中具有多个第二开口,其中该上表面包含多个突部,且该多个第二开口中的各者的直径大于25.0密耳,气体分配通道从下表面延伸到上表面,以在介电体内限定气室。

Description

具有改良的热耦合以用于热敏感处理的静电吸盘
技术领域
本公开内容的实施方式一般涉及基板处理设备,且更特定言之涉及在处理设备中使用的基板支撑件。
背景技术
沉积腔室(如物理气相沉积(PVD)腔室)常用于在基板上形成薄膜层。沉积处理需要高真空压力。在沉积处理期间,通常使用静电吸盘将基板静电地固持在基板支撑件上。静电吸盘通常包括介电体,介电体具有设置在其中的一个或多个电极。静电吸盘可具有一个或多个加热器,加热器经嵌入以在将基板放置在静电吸盘上时提供与基板的热耦合。
然而,发明人已经观察到某些沉积处理是高度温度敏感的。因此,发明人提供了一种改良的设备,该设备用于经由物理气相沉积来沉积材料,其中在静电吸盘和基板之间具有改善的热耦合。
发明内容
本文提供了具有改善ESC与基板之间的热耦合的内部气体通道的静电吸盘(ESC)的实施方式,以及包含该静电吸盘的基板支撑件和处理腔室。在一些实施方式中,静电吸盘包括电极、介电体、气体分配通道及加热器,该介电体具有圆盘形状并覆盖该电极,该介电体包含中心区域和周围区域,且该介电体包含下表面与上表面,该下表面具有中心开口,该上表面在该中心区域中具有第一开口以及在该周围区域中具有多个第二开口,其中该上表面包含多个突部,且该多个第二开口中的各者的直径大于25.0密耳(mil),所述气体分配通道从该下表面延伸到该上表面以限定该介电体内的气室,所述气体分配通道包含第一通道、多个径向通道与多个第二通道,该第一通道从该中心开口延伸到该第一开口,该多个径向通道从该第一通道延伸到设置在该周围区域中的环形通道,该多个第二通道从该环形通道延伸到该多个第二开口;及该加热器设置在该介电体中。
在一些实施方式中,基板支撑件包括空心(hollow)轴和基座,该基座包含壳体、介电体、气室及一个或多个加热元件,该壳体耦接至该空心轴,该介电体覆盖电极,该介电体耦接到该壳体且包括上表面与下表面,该上表面在该介电体的中心区域中具有第一开口以及在该介电体的周围区域中具有多个第二开口,该下表面具有中心开口,该气室包含第一通道、多个径向通道与多个第二通道,该第一通道从该中心开口延伸到该第一开口,该多个径向通道从该第一通道延伸到设置在该周围区域中的环形通道,该多个第二通道从该环形通道延伸到该多个第二开口,其中该多个第二通道从该环形通道到该多个第二开口的长度大于120.0密耳,及该一个或多个加热元件设置在该介电体中。
在一些实施方式中,处理腔室包括腔室主体、基板支撑件、加热器、气体导管及气体分配通道,该基板支撑件设置在该腔室主体内且具有耦接至空心轴的基座,该基座具有介电体,该介电体覆盖电极,该介电体包含上表面与下表面,该上表面经配置接收基板,且该上表面在该介电体的中心区域中具有第一开口以及在该介电体的周围区域中具有多个第二开口,该下表面具有中心开口,其中该多个第二开口中的各者的直径大于25.0密耳,该加热气器设置在该介电体中,该气体导管从设置在该腔室主体外部的气体供应源延伸到该中心开口,及所述气体分配通道从该中心开口延伸到该多个第二开口,所述气体分配通道与该气体导管流体连通。在一些实施方式中,处理腔室可以是物理气相沉积(PVD)处理腔室。
下面描述本公开内容的其他和进一步的实施方式。
附图说明
本公开内容的实施方式已简要概述于前,并在以下有更详尽的讨论,可以通过参考所附附图中绘示的本公开内容的示例性实施方式以作了解。然而,所附附图仅绘示了本公开内容的典型实施方式,而由于本公开内容可允许其他等效的实施方式,因此所附附图并不会视为本公开内容范围的限制。
图1绘示根据本公开内容的至少一些实施方式的具有静电吸盘的处理腔室的示意性侧视图。
图2绘示根据本公开内容的至少一些实施方式的基板支撑件的顶视图。
图3绘示根据本公开内容的至少一些实施方式的基板支撑件的示意性侧视图。
图4绘示图2的静电吸盘的横截面顶视图。
为便于理解,在可能的情况下,使用相同的附图标记代表图标中相同的元件。为求清楚,附图未依比例绘示且可能被简化。一个实施方式中的元件与特征可有利地用于其他实施方式中而无需赘述。
具体实施方式
本文提供了具有改善ESC与基板之间的热耦合的内部气体通道的静电吸盘(ESC)的实施方式,以及包含该静电吸盘的基板支撑件和处理腔室。内部气体通道可从设置在ESC的下表面上的单一入口延伸到设置在ESC的上表面上的多个出口。单一入口耦接至包含如氩(Ar)、氦气(He)等的背侧气体供应源。背侧气体经配置流过内部气体通道,以有利地改善ESC和基板的热耦合,并在基板被加热时在基板上提供改善的温度均匀性。与耦接到ESC的上表面上的多个出口的多个背侧气体供应管线相比,从单一入口延伸到ESC的上表面上的多个出口的内部气体通道提供了到单一背侧气体供应管线的便利(easy)连接。
图1是根据本公开内容的一些实施方式的处理腔室(如等离子体处理腔室)的示意性截面图。在一些实施方式中,等离子体处理腔室是物理气相沉积(PVD)处理腔室。然而,经配置用于不同处理的其他类型的处理腔室也可以与本文所述的静电吸盘的实施方式一起使用或是经修改而用于本文所述的静电吸盘的实施方式。
腔室100是真空腔室,其适合于在基板处理期间保持腔室内部空间120内的低于大气压的(sub-atmospheric)压力。腔室100包括由盖件104覆盖的腔室主体106,盖件104包围位于腔室内部空间120的上半部中的处理空间119。腔室100还可包括一个或多个屏蔽件105,一个或多个屏蔽件105围绕各式腔室部件,以防止这样的部件与电离的处理材料之间发生不必要的反应。腔室主体106和盖件104可由金属(如铝)制成。腔室主体106可经由与地115耦接的方式来接地。
基板支撑件124设置在腔室内部空间120内,以支撑和固持基板122(如半导体晶片)或其他可静电固持的基板。基板支撑件124一般可包括基座136与空心支撑轴112,基座136具有静电吸盘150(下面参照图2-4更详细地描述),空心支撑轴112用于支撑静电吸盘150。静电吸盘150包括介电体,介电体具有设置在其中的一个或多个电极154。空心支撑轴112提供导管,以向静电吸盘150提供如背侧气体、处理气体、流体、冷却剂、电力等。
在一些实施方式中,空心支撑轴112耦接至升降机构113(如致动器或马达),升降机构113提供在上部处理位置(如图1所示)与下部传送位置(未图标)之间静电吸盘150的垂直运动。波纹管组件110设置在空心支撑轴112周围,并耦接在静电吸盘150和腔室100的底表面126之间,以提供柔性密封,该柔性密封允许静电吸盘150垂直运动,同时防止腔室100内的真空损失。波纹管组件110还包括与O形环165接触的下部波纹管凸缘164或其他合适的密封元件,下部波纹管凸缘164或其他合适的密封元件接触底表面126以帮助防止腔室真空损失。
空心支撑轴112提供一导管,该导管用于将背侧气体供应源141、吸附(chucking)电源140和RF源(如RF等离子体电源170和RF偏置(bias)电源117)耦接到静电吸盘150。在一些实施方式中,由RF等离子体电源170提供的RF能量可具有约40MHz或更高的频率。背侧气体供应源141设置在腔室主体106的外部,并将热传递气体供应至静电吸盘150。在一些实施方式中,RF等离子体电源170和RF偏置电源117经由各别的RF匹配网络(仅图标RF匹配网络116)耦接到静电吸盘。在一些实施方式中,基板支撑件可替代地包括AC、DC或RF偏置功率。
基板升降机(substrate lift)130可以包括安装在平台108上的升降销109,该平台108连接到轴111,该轴111耦接到第二升降机构132,第二升降机构132用于升高和降低基板升降机130,使得可将基板122放置在静电吸盘150上或从静电吸盘150移除。静电吸盘150包括通孔以接收升降销109。波纹管组件131耦接在基板升降机130和底表面126之间,以提供柔性密封,该柔性密封在基板升降机130的垂直运动期间维持腔室真空。
静电吸盘150包括气体分配通道138,气体分配通道138从静电吸盘150的下表面延伸到静电吸盘150的上表面中的各种开口。气体分配通道138经由气体导管142与背侧气体供应源141流体连通。气体分配通道138在电极154下方延伸。基座136包括一个或多个加热器。例如,在一些实施方式中,基座136包括内部加热器144,该内部加热器144具有一个或多个电阻加热元件308,所述电阻加热元件308经配置向基座136的内部提供热。在一些实施方式中,基座136可进一步包括外部加热器148,该外部加热器148设置在基座136的周围区域中且经配置向基座136的外部提供热。外部加热器148包括一个或多个电阻加热元件310。空心支撑轴112进一步包括功率源(如AC功率源162),功率源经由第一引线172耦接至内部加热器144以向内部加热器144的加热元件供电。AC功率源162还可经由第二引线174为外部加热器148的加热元件供电。或者,第二引线174可耦接至独立于与内部加热器144耦接的功率源的功率源。在一些实施方式中,内部加热器144设置在基座136的中心区域中。在一些实施方式中,外部加热器148设置在基座136的周围区域中。由功率源施加到内部加热器144的功率可不同于施加到外部加热器148的功率。如此一来,在一些实施方式中,基板支撑件124包括双区域温度控制。
腔室100耦接至真空系统114并与真空系统114流体连通,该真空系统114包括用于为腔室100排气的节流阀(未图示)和真空泵(未图示)。可通过调整节流阀和/或真空泵来调节腔室100内部的压力。腔室100还耦接至处理气体供应源118并与处理气体供应源118流体连通,该处理气体供应源118可向腔室100供应一种或多种处理气体,以用于处理设置在其中的基板。
在操作中,例如,可在腔室内部空间120中产生等离子体102以施行一个或多个处理。可通过经由腔室内部空间120附近或其之内的一个或多个电极154将来自等离子体功率源(如RF等离子体电源170)的功率耦接至处理气体以将处理气体点燃(ignite)并产生等离子体102,来产生等离子体102。在一些实施方式中,还可从偏置电源(如RF偏置117)经由电容耦合偏置板(如下所述)向静电吸盘150内的一个或多个电极154提供偏置功率,以吸引来自等离子体朝向基板122的离子。静电吸盘可在电极上方具有特定的厚度。该厚度可以基于规定电极上方可接受的厚度范围的规格。对于Johnson Rahbek(J-R)型静电吸盘,该厚度可以约为200-300微米。或者,该规格可提出静电吸盘的可接受的厚度。
在一些实施方式中,例如在腔室100是PVD腔室的情况下,可以将包括待沉积在基板122上的源材料的靶166设置在基板上方并在腔室内部空间120内。靶166可由腔室100的接地导电部分支撑,如经由介电隔离器的铝适配器。在其他实施方式中,腔室100可包括以多阴极方式布置的多个靶,其用于使用同一腔室来沉积不同材料的层。
可控制的DC功率源168可耦接到腔室100以向靶166施加负电压或偏压(bias)。RF偏置电源117可耦接到基板支撑件124,以便在基板122上引起负DC偏压。此外,在一些实施方式中,负DC自偏压可在处理期间在基板122上形成。在一些实施方式中,RF等离子体电源170也可耦接至腔室100,以将RF功率施加至靶166,以利于对基板122上的沉积速率的径向分布的控制。在操作中,在腔室100中生成的等离子体102中的离子与来自靶166的源材料反应。该反应使靶166射出源材料的原子,所述原子接着被导向基板122,而沉积材料。
图2绘示根据本公开内容的至少一些实施方式的静电吸盘的顶视图。静电吸盘包括上表面204。一个或多个通孔216延伸穿过静电吸盘150以容纳升降销109。如图2所示,静电吸盘150包括设置在静电吸盘的周围区域中的三个通孔216。上表面包括设置在静电吸盘150的中心区域中的第一开口208。在一些实施方式中,静电吸盘150的上周围部分包括凹口(notch),该凹口限定平行于上表面204的下凸耳(ledge)214。
上表面包括设置在静电吸盘150的周围区域中的多个第二开口210。如图2所示,多个第二开口210包括绕第一开口208以规则间距(如彼此等距)布置的八个第二开口。在一些实施方式中,多个第二开口210绕第一开口208以单一环形图案的方式布置(如,沿着共同的直径)。在一些实施方式中,多个第二开口210经设置成距第一开口208约200.0mm至约280.0mm。在一些实施方式中,多个第二开口距第一开口208约220.0mm至约260.0mm。在一些实施方式中,多个第二开口210绕第一开口208以多个环形图案的方式布置(如,沿着多个不同的直径布置)。尽管图2表示八个第二开口,但是多个第二开口210可由更多的开口或较少的开口组成。例如,在一些实施方式中,多个第二开口210可包括四个开口。在一些实施方式中,多个第二开口210可包括十六个开口。
上表面204包括从上表面204延伸的多个突部206。多个突部206在突部206之间限定凹部(recess)212。凹部212经配置使背侧气体流过静电吸盘150的上表面204,同时将基板设置在基板接收表面228上,以有利地改善基板122与静电吸盘150之间的热耦合。突部206可具有各种形状且可具有不同的尺寸。在一些实施方式中,突部206的尺寸和形状在静电吸盘150上变化。突部206(如突部206的上表面)一起限定基板接收表面228。突部206的深度、宽度和密度经设计以增强在静电吸盘150上的均匀气体分布。在一些实施方式中,静电吸盘150的中心区域中的第一组突部226具有比静电吸盘150的周围区域中的第二组突部218更大的表面积或密度,以有利地改善在静电吸盘150的周围区域处的热耦合。
静电吸盘150包括在静电吸盘150的中心区域中的第一热电偶开口220。在一些实施方式中,静电吸盘150包括在静电吸盘150的中心区域中的第二热电偶开口222。在一些实施方式中,第一热电偶开口220和第二热电偶开口222设置在第一开口208附近。在一些实施方式中,第一热电偶开口220和第二热电偶开口222绕第一开口208而彼此相对设置。在一些实施方式中,静电吸盘150在静电吸盘150的中心区域和周围区域之间的界面处包括第三热电偶开口224。
第一热电偶开口220、第二热电偶开口222和第三热电偶开口224可以容纳可嵌入在静电吸盘150中并用于监控静电吸盘150的温度的热电偶。例如,可在反馈回路中使用来自热电偶的信号,以控制由AC功率源162施加到内部加热器144和外部加热器148的功率。在中心区域中具有第一热电偶开口220和第二热电偶开口222两者有利地提供了冗余的(redundant)温度监控并且允许对反馈回路进行更精确的温度测量。在周围区域处或附近具有第三热电偶开口224提供了在周围区域的温度监控,这对于具有多个加热器的基板支撑件是有利的。
图3绘示基板支撑件的示意性侧视图。基板支撑件124包括基座136,基座136具有基部316和从基部316向上延伸的侧壁318。基部316和侧壁318形成基座136的壳体。静电吸盘150静置在侧壁318上。为了免于模糊细节,在静电吸盘150的示意性侧视图中未表示影线(hatching)。基部316耦接至空心支撑轴112。冷却板328经由紧固件336耦接到基部316。冷却板328经配置在对腔室100施行任何维护之前冷却静电吸盘150以有利地减少静电吸盘150的冷却时间。
静电吸盘150包括中心区域312和周围区域320。在一些实施方式中,中心区域的直径为约150.0mm至约210.0mm。周围区域320从中心区域的外边缘延伸到静电吸盘150的外边缘。静电吸盘150包括与上表面204相对的下表面306。下表面306包括设置在中心区域312中的中心开口302。第一通道324从下表面306的中心开口302延伸到上表面204的第一开口208。第一通道324流体地耦接到气体导管142。径向通道234从第一通道324延伸到设置在周围区域320中的环形通道240。多个第二通道330从环形通道240延伸到多个第二开口210。在一些实施方式中,第一通道324的直径类似于第二通道330。在一些实施方式中,第一通道324的直径大于第二通道330的直径。径向通道234和环形通道240设置在电极154下方。如此一来,电极154的吸附力不受气体分配通道138的深度的影响。在一些实施方式中,从环形通道240到多个第二开口210的多个第二通道330的长度大于120.0密耳。在一些实施方式中,多个第二通道330的长度为约160.0密耳至约200.0密耳。
气体分配通道138包括由第一通道324、径向通道234、环形通道240和多个第二通道330限定的气室322。多个第二通道330与第一通道324一起有利地将背侧气体均匀地分布在静电吸盘150的上表面204上。多个第二开口210和第一开口208足够大以改善气体传导,但是又足够小以抑制气体点燃。在一些实施方式中,多个第二开口210和第一开口208中的各者的直径大于25.0密耳,使得背侧气体可以以合适的速率流到上表面204以改善气体传导。在一些实施方式中,多个第二开口中的各者的直径为约36.0mm至约42.0mm。
图4绘示图2的静电吸盘的横截面顶视图。如图4所示,气体分配通道138包括从第一通道324延伸到环形通道240的四个径向通道234。四个径向通道234中的各者从相邻的径向通道234以约九十度径向向外延伸。在一些实施方式中,径向通道234在与第二通道330相对应的位置处耦接到环形通道240。在一些实施方式中,径向通道234在与第二通道330不对应的位置处耦接到环形通道240。例如,在一些实施方式中,静电吸盘150包括八个第二通道330和四个径向通道234,其中每个径向通道234在相邻的第二通道330之间延伸。在一些实施方式中,径向通道234在相邻的第二通道330之间约中途(halfway)延伸,使得每个第二通道330之间的距离与最近的径向通道234等距,使得背侧气体可以更均匀地流到所有第二通道330。环形通道240有利地允许第二通道330的数量大于径向通道234的数量。
虽然前面所述涉及本公开内容的实施方式,但在不背离本公开内容基本范围下,可设计本公开内容的其他与进一步的实施方式。

Claims (15)

1.一种静电吸盘,包括:
电极;
介电体,所述介电体具有圆盘形状并覆盖所述电极,所述介电体包含中心区域和周围区域,且所述介电体包含下表面与上表面,所述下表面具有中心开口,所述上表面在所述中心区域中具有第一开口以及在所述周围区域中具有多个第二开口,其中所述上表面包含多个突部,且所述多个第二开口中的各者的直径大于25.0密耳;
气体分配通道,所述气体分配通道从所述下表面延伸到所述上表面以限定所述介电体内的气室,所述气体分配通道包含第一通道、多个径向通道与多个第二通道,所述第一通道从所述中心开口延伸到所述第一开口,所述多个径向通道从所述第一通道延伸到设置在所述周围区域中的环形通道,所述多个第二通道从所述环形通道延伸到所述多个第二开口;和
加热器,所述加热器设置在所述介电体中。
2.如权利要求1所述的静电吸盘,其中所述多个径向通道中的各者在相邻的第二通道之间延伸。
3.如权利要求2所述的静电吸盘,其中所述多个第二开口包括与所述第一开口等距离且沿着所述周围区域以等间隔地布置的八个第二开口。
4.如权利要求3所述的静电吸盘,其中所述多个径向通道包括四个径向通道。
5.如权利要求1至4中任一项所述的静电吸盘,其中所述多个第二通道从所述环形通道到所述多个第二开口的长度大于120.0密耳。
6.如权利要求1至4中任一项所述的静电吸盘,其中所述多个突部限定基板接收表面以及在所述突部之间的凹部,其中所述凹部经配置在将基板设置在所述多个突部上时使气体流过所述介电体。
7.如权利要求6所述的静电吸盘,其中所述多个突部包括第一组突部与第二组突部,所述第一组突部设置在所述静电吸盘的所述中心区域中,所述第二组突部设置在所述静电吸盘的所述周围区域中,所述第一组突部具有比所述第二组突部大的表面积。
8.如权利要求1至4中任一项所述的静电吸盘,其中所述介电体包括用于容纳热电偶的开口。
9.如权利要求1至4中任一项所述的静电吸盘,其中所述加热器包括内部加热器与外部加热器,所述内部加热器具有设置在所述中心区域中的电阻加热元件,所述外部加热器具有设置在所述周围区域中的电阻加热元件。
10.一种基板支撑件,包括:
空心轴;
壳体,所述壳体耦接到所述空心轴;和
如权利要求1至4中任一项所述的静电吸盘,其中所述介电体耦接到所述壳体,并且其中所述加热器包括设置在所述介电体中的一个或多个加热元件。
11.如权利要求10所述的基板支撑件,其中所述基座包括一个或多个通孔,以容纳升降销。
12.如权利要求10所述的基板支撑件,其中所述基座进一步包括冷却板,所述冷却板耦接至所述基座的基部。
13.如权利要求10所述的基板支撑件,其中所述一个或多个加热元件包括设置在所述中心区域中的第一电阻加热元件以及设置在所述周围区域中的第二电阻加热元件。
14.如权利要求10所述的基板支撑件,其中所述多个第二开口包括沿着所述介电体的所述周围区域以规则间距布置的八个第二开口。
15.一种处理腔室,包括:
腔室主体;和
设置在所述腔室主体内的如权利要求10所述的基板支撑件。
CN201980080012.0A 2018-12-07 2019-12-05 具有改良的热耦合以用于热敏感处理的静电吸盘 Pending CN113169111A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/213,816 US11031273B2 (en) 2018-12-07 2018-12-07 Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes
US16/213,816 2018-12-07
PCT/US2019/064772 WO2020118104A1 (en) 2018-12-07 2019-12-05 Electrostatic chuck with improved thermal coupling for temperature sensitive processes

Publications (1)

Publication Number Publication Date
CN113169111A true CN113169111A (zh) 2021-07-23

Family

ID=70971118

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980080012.0A Pending CN113169111A (zh) 2018-12-07 2019-12-05 具有改良的热耦合以用于热敏感处理的静电吸盘

Country Status (6)

Country Link
US (1) US11031273B2 (zh)
JP (1) JP2022511063A (zh)
KR (1) KR20210089787A (zh)
CN (1) CN113169111A (zh)
TW (1) TW202038374A (zh)
WO (1) WO2020118104A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11229968B2 (en) * 2011-11-30 2022-01-25 Watlow Electric Manufacturing Company Semiconductor substrate support with multiple electrodes and method for making same
KR20230146074A (ko) * 2021-02-19 2023-10-18 어플라이드 머티어리얼스, 인코포레이티드 기판 지지체, 기판을 프로세싱하는 방법, 및 프로세싱 시스템
WO2023177967A1 (en) * 2022-03-18 2023-09-21 Lam Research Corporation Apparatus and methods for reducing wafer backside damage

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
WO1998029704A1 (en) * 1997-01-02 1998-07-09 Cvc Products, Inc. Thermally conductive chuck for vacuum processor
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
JP3805134B2 (ja) 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
JP2001118915A (ja) 1999-10-15 2001-04-27 Applied Materials Inc 内蔵チャンネルを有する多層セラミック静電チャック
JP4421874B2 (ja) 2003-10-31 2010-02-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TWI267940B (en) * 2004-06-28 2006-12-01 Kyocera Corp Electrostatic chuck
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
JP5633766B2 (ja) * 2013-03-29 2014-12-03 Toto株式会社 静電チャック

Also Published As

Publication number Publication date
WO2020118104A1 (en) 2020-06-11
KR20210089787A (ko) 2021-07-16
JP2022511063A (ja) 2022-01-28
US11031273B2 (en) 2021-06-08
US20200185247A1 (en) 2020-06-11
TW202038374A (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
KR102383357B1 (ko) 배치대 및 기판 처리 장치
US9853579B2 (en) Rotatable heated electrostatic chuck
EP3369109B1 (en) Biasable rotatable electrostatic chuck
US11004722B2 (en) Lift pin assembly
CN110050334B (zh) 具有背部气源的可旋转静电吸盘
KR102561044B1 (ko) 다중 구역 반도체 기판 지지체
JP2013526778A (ja) 限定プロセス容積pecvdチャンバ
CN113169111A (zh) 具有改良的热耦合以用于热敏感处理的静电吸盘
US11380526B2 (en) Stage and plasma processing apparatus
CN110914954B (zh) 斜面蚀刻轮廓控制
WO2021025809A1 (en) Pedestal with multi-zone heating
KR102543933B1 (ko) 냉각제 가스 존들 및 대응하는 그루브 및 단극성 정전 클램핑 전극 패턴들을 갖는 정전 척들
TW202230446A (zh) 用於限制直流放電的雙極靜電卡緊
US20230162954A1 (en) High temperature detachable very high frequency (vhf) electrostatic chuck (esc) for pvd chamber
WO2023058480A1 (ja) 上部電極構造及びプラズマ処理装置
US20220093361A1 (en) Showerhead assembly with recursive gas channels
US20220127723A1 (en) High heat loss heater and electrostatic chuck for semiconductor processing
US20230060901A1 (en) Supporting unit and apparatus for treating substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination