WO2007018157A1 - 基板処理装置およびそれに用いる基板載置台 - Google Patents
基板処理装置およびそれに用いる基板載置台 Download PDFInfo
- Publication number
- WO2007018157A1 WO2007018157A1 PCT/JP2006/315525 JP2006315525W WO2007018157A1 WO 2007018157 A1 WO2007018157 A1 WO 2007018157A1 JP 2006315525 W JP2006315525 W JP 2006315525W WO 2007018157 A1 WO2007018157 A1 WO 2007018157A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- substrate
- susceptor
- wafer
- mounting table
- processing apparatus
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 114
- 238000012545 processing Methods 0.000 title claims abstract description 31
- 238000010438 heat treatment Methods 0.000 claims abstract description 38
- 230000002093 peripheral effect Effects 0.000 claims description 45
- 230000005855 radiation Effects 0.000 abstract description 11
- 230000000694 effects Effects 0.000 abstract description 8
- 239000007789 gas Substances 0.000 description 78
- 238000005259 measurement Methods 0.000 description 24
- 238000009826 distribution Methods 0.000 description 15
- 238000012546 transfer Methods 0.000 description 15
- 238000005755 formation reaction Methods 0.000 description 13
- 230000015572 biosynthetic process Effects 0.000 description 12
- 238000000034 method Methods 0.000 description 12
- 238000000576 coating method Methods 0.000 description 10
- 239000011248 coating agent Substances 0.000 description 9
- 239000000919 ceramic Substances 0.000 description 7
- 238000005520 cutting process Methods 0.000 description 7
- 230000008569 process Effects 0.000 description 6
- 230000007423 decrease Effects 0.000 description 5
- 239000000463 material Substances 0.000 description 5
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 239000011810 insulating material Substances 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000012937 correction Methods 0.000 description 2
- 230000020169 heat generation Effects 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 239000004484 Briquette Substances 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 238000013142 basic testing Methods 0.000 description 1
- 238000009529 body temperature measurement Methods 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000004570 mortar (masonry) Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2001—Maintaining constant desired temperature
Definitions
- Substrate processing apparatus and substrate mounting table used therefor are Substrate processing apparatus and substrate mounting table used therefor
- the present invention relates to a substrate processing apparatus that performs heat treatment on a substrate such as a wafer or a predetermined process such as CVD while heating the substrate, and a substrate mounting table used therefor.
- various gas treatments such as film formation and etching are performed on a semiconductor wafer (hereinafter simply referred to as “wafer”) as a substrate to be processed.
- wafer a semiconductor wafer
- the wafer is placed on a ceramic or metal susceptor and the wafer is, for example, 500 to 700 ° by a resistance heater or a lamp heater. Heated to about C.
- FIG. 21 shows the measurement result of the wafer surface temperature when the wafer is heated using a conventional susceptor. As shown by the square plot in Fig. 21, the temperature at the center is higher than that at the periphery. There was a tendency to become.
- In-chamber components such as a susceptor are usually pre-coated before film formation in order to avoid contamination of the wafer by constituent metal elements.
- the pre-coating of the susceptor is performed without placing a wafer on the susceptor, whereby a pre-coating film is formed on the entire surface including the wafer mounting region of the susceptor. For this reason, heat radiation from the surface force of the susceptor is suppressed as a whole.
- the susceptor is connected to the bottom of the chamber via a support member connected to the center of the bottom surface.
- the heat of the susceptor escapes also by heat conduction through the support member, but the heat conduction amount does not change depending on the presence or absence of the precoat film.
- the effect of heat conduction through the support member on the susceptor temperature distribution is increased. For this reason, the temperature of the central portion of the susceptor located near the support member is relatively lowered as compared with other portions, which causes a non-uniform temperature in the wafer surface.
- the present invention provides a substrate mounting table that can make the in-plane temperature of the wafer uniform even when the substrate mounting table that supports the wafer is precoated, and a substrate including the substrate mounting table.
- An object is to provide a processing apparatus.
- a substrate processing apparatus for performing a predetermined process while heat-treating a substrate or heating the substrate, the chamber, An evacuation unit that depressurizes the inside of the chamber, a substrate mounting table that supports the substrate in the chamber, and a heating unit that heats the substrate via the substrate mounting table, wherein the substrate mounting table is
- a first support surface that is formed at the center of the substrate mounting table and supports the substrate; a second support surface that is formed at a peripheral edge of the substrate mounting table and supports the substrate; and the first support surface.
- a recess formed between the support surface and the second support surface, and a gap is formed between the substrate placed on the substrate platform and the bottom surface of the recess.
- a substrate mounting table that supports a substrate in a chamber held under reduced pressure, is heated by a heating means, and heats the substrate by the heat.
- the substrate mounting table includes a first support surface that is formed at a central portion of the substrate mounting table and supports the substrate, and a second support surface that is formed at a peripheral edge of the substrate mounting table and supports the substrate.
- a recess formed between the first support surface and the second support surface, and a gap between the substrate mounted on the substrate mounting table and the bottom surface of the recess
- a substrate processing apparatus is provided in which is formed.
- the temperature of the intermediate region between the central portion and the peripheral portion is higher than the temperature of the central portion of the substrate mounting table and the peripheral portion of the substrate mounting table. Get higher.
- the gap (distance) between the substrate mounting table and the substrate in the intermediate region is increased.
- the substrate heating effect by the substrate mounting table is suppressed. Therefore, the temperature of the intermediate region between the central portion and the peripheral portion of the substrate can be lowered, and the in-plane temperature of the substrate can be made uniform.
- the size of the gap may vary depending on the location.
- a step can be provided on the bottom surface of the recess.
- the bottom surface of the recess has a plurality of annular regions arranged concentrically, and the heights (depths) of adjacent annular regions are different from each other.
- the substrate platform is supported by a support member connected to the central portion thereof.
- the region where the first support surface is provided substantially corresponds to the region where the support member is provided.
- the heating means has a resistance heater embedded in the substrate mounting table.
- a plurality of heaters can be used.
- the plurality of heaters are controlled to be fed independently.
- the heating means includes: a first heater disposed at a central portion of the substrate mounting table; and a second heater disposed so as to surround the first heater. Have.
- the first and second heaters are independently controlled for power supply.
- FIG. 1 is a cross-sectional view showing a film forming apparatus according to an embodiment of the present invention.
- FIG. 2 is an enlarged cross-sectional view showing the susceptor of the first embodiment used in the film forming apparatus of FIG.
- FIG. 3 is a cross-sectional view showing a susceptor according to a second embodiment.
- FIG. 4 is a sectional view showing a susceptor according to a third embodiment.
- FIG. 5 is a sectional view showing a susceptor according to a fourth embodiment.
- FIG. 6 is a sectional view showing a susceptor according to a fifth embodiment.
- FIG. 7 is a sectional view showing a susceptor according to a sixth embodiment.
- FIG. 8 is a cross-sectional view showing the structure of a support member.
- FIG. 9 is a horizontal sectional view of the susceptor showing the arrangement of the heater.
- FIG. 10 is a drawing schematically showing the state of a susceptor in a test example, where (a) shows a non-pre-coated state, (b) shows a pre-coated state, and (c) shows a pre-coated state of a susceptor having a recess.
- FIG. 11 is a graph showing the measurement result of the wafer in-plane temperature.
- FIG. 12 A graph showing the relationship between the temperature drop rate due to the gap and the pressure in the chamber (with pre-coating).
- FIG. 13 Graph showing the relationship between the temperature drop rate due to the gap and the pressure in the chamber (without pre-coating).
- FIG.14 A graph showing the relationship between the temperature drop rate due to the gap and the heater set temperature (when there is a bullet).
- FIG.15 A graph showing the relationship between the temperature drop rate due to the gap and the heater set temperature (without briquette).
- FIG. 16 is a flowchart showing a procedure for producing a recess in a susceptor.
- FIG. 17 is a plan view showing the structure of a susceptor in which a recess is formed.
- FIG. 18 is a cross-sectional view showing the structure of a susceptor having a recess.
- FIG. 19 is a graph showing the temperature distribution in the wafer surface on the susceptor with and without recesses.
- FIG. 20 is a graph showing the temperature distribution in the wafer surface on the susceptor with and without recesses.
- FIG. 21 is a graph showing the measurement result of the in-wafer temperature when a conventional susceptor is used.
- FIG. 1 is a sectional view showing a film forming apparatus according to the first embodiment of the present invention.
- This film forming apparatus 100 is for forming a TiN film or a Ti film, and has a substantially cylindrical chamber 11. Inside the chamber 11, a disc-shaped susceptor 12 for horizontally supporting the wafer W, which is the substrate to be processed, is arranged in a state of being supported by a cylindrical support member 13 provided at the center lower portion thereof. .
- the susceptor 12 is made of, for example, Al O, A1N, etc.
- A1N Made of ceramic, here A1N is used. As will be described in detail later, a recess 12a is formed outside the central portion of the wafer support surface. A guide ring 14 for guiding the wafer W is provided on the outer edge of the susceptor 12.
- a heater 15a and a heater 15b are embedded in the susceptor 12 as heating means.
- the heater 15a is configured as a resistance opening heat heater mainly for heating the central part of the susceptor 12, and is electrically connected to the heater power supply 16a through the feeder line 17a.
- the heater 15b is configured as a resistance heater for mainly heating the peripheral portion of the susceptor 12, and is electrically connected to the heater power supply 16a by a power supply line 17b.
- the heaters 15a and 15b are configured as, for example, coil heaters or pattern heaters.
- the power supply to these heaters 15a and 15b is configured such that the heating temperature is controlled by supplying power independently to each other, whereby the wafer W as the substrate to be processed is brought to a predetermined temperature. Heat.
- the susceptor 12 is provided with a thermocouple 16b, and temperature control is performed by detecting the temperature of the susceptor 12 and feeding back to the heater power source 16a.
- an electrode made of a metal or alloy such as W or Mo is embedded in the vicinity of the surface of the susceptor 12, and is used to maintain the stability of the plasma during plasma processing. Further, by connecting a high-frequency power source to this electrode and applying a high-frequency noise of a predetermined frequency, the film-forming molecules can be drawn into the wafer and W, so that the film formation in the hole can be effectively performed.
- a shower head 20 is provided on the top wall 11 a of the chamber 11 via an insulating member 19.
- the shower head 20 includes an upper block body 20a, a middle block body 20b, and a lower block body 20c. Discharge holes 27 and 28 for discharging gas are alternately formed in the lower block body 20c.
- a first gas inlet 21 and a second gas inlet 22 are formed on the upper surface of the upper block body 20a.
- a large number of gas passages 23 branch from the first gas inlet 21.
- Gas passages 25 are formed in the middle block body 20b, and the gas passages 23 communicate with the gas passages 25 through communication passages 23a extending horizontally. Further, the gas passage 25 communicates with the discharge hole 27 of the lower block body 20c.
- Gas passages 26 are formed in the middle block body 20b, and the gas passages 24 communicate with the gas passages 26. Further, the gas passage 26 is connected to a communication passage 26a extending horizontally into the middle block body 20b, and the communication passage 26a communicates with a number of discharge holes 28 of the lower block body 20c.
- the first and second gas inlets 21 and 22 are connected to gas lines 31 and 32, respectively.
- the gas supply mechanism 30 has a film forming gas, a carrier gas, and a cleaning gas.
- Ti-containing gas is supplied with carrier gas such as N gas via gas line 31 and gas inlet 21.
- TiCl gas is supplied to the showerhead 20 and the gas line 32 and gas inlet 22
- H gas is supplied to the shower head 20 (when Ti film is formed).
- the TiCl gas introduced from 21 into the shower head 20 passes through the gas passages 23 and 25 and is discharged.
- the shower head 20 is made of NH gas, which is TiCl gas and reducing gas.
- the shower head 20 may be a premix type.
- C1F gas is supplied from the gas supply mechanism 30 as a tailing gas through the gas line 31 and the shower head 20.
- a high frequency power supply 34 is connected to the shower head 20 via a matching unit 33, and high frequency power of a predetermined frequency is supplied from the high frequency power supply 34 to the shower head 20 as necessary. ing.
- a circular hole 35 is formed in the center of the bottom wall l ib of the chamber 11, and a concave exhaust chamber 36 protruding downward to cover the hole 35 is formed in the bottom wall l ib. Is provided.
- An exhaust pipe 37 is connected to the side surface of the exhaust chamber 36, and an exhaust device 38 is connected to the exhaust pipe 37. By operating the exhaust device 38, the inside of the chamber 11 can be depressurized to a predetermined vacuum level.
- the susceptor 12 is provided with three wafer support pins 39 (only two are shown) for supporting the wafer W to be moved up and down so as to protrude and retract with respect to the surface of the susceptor 12.
- the support pin 39 is fixed to the support plate 40.
- the wafer support pins 39 are air cylinders, etc.
- the drive mechanism 41 moves up and down through the support plate 40.
- a loading / unloading port 42 for loading / unloading the wafer W to / from an adjacent transfer chamber (not shown) and a gate valve 43 for opening / closing the loading / unloading port 42 are provided on the side wall of the chamber 11.
- An annular recess 12 a is formed around the center of the wafer support surface of the susceptor 12.
- the recess 12a By forming the recess 12a on the wafer support surface of the susceptor 12, it is possible to form a state in which the temperature difference between the central portion of the wafer W, the peripheral portion, and the intermediate region (recess formation region) therebetween is reduced. . Thereby, the temperature of the wafer W can be made uniform. That is, if the concave portion 12a is formed, heat transfer from the susceptor 12 is suppressed in this portion, so that the temperature of the intermediate region of the wafer W (between the central portion and the peripheral portion of the wafer W) where the temperature is likely to rise is reduced. It can be made lower than when there is no recess 12a.
- the in-plane temperature distribution of the wafer W can be made uniform.
- the heating effect of the wafer W due to the heat transfer from the susceptor 12 varies depending on the distance (gap) between the susceptor 12 and the wafer W, so that the susceptor 12 is placed between the wafer W and the susceptor 12.
- the shape, size, and depth (that is, the gap) of the concave portion 12a can be set so that a spatial region in which the in-plane temperature of the mounted wafer W is uniform can be formed.
- the gap is preferably set to 1 mm or less, for example, in the range of 0.01 mm to lmm.
- a space is formed between the mounted wafer W and the susceptor 12 so that the in-plane temperature of the supported wafer W is uniform according to the pressure in the chamber 11. .
- the pressure inside the space and the pressure inside the chamber 11 are substantially the same.
- the recess 12a is formed as a groove having a uniform depth between the central protrusion 12b at the center of the susceptor 12 and the peripheral protrusion 12c at the periphery of the susceptor 12. It has been. As a result, the first support surface S that supports the central portion of the wafer W is formed on the top of the central convex portion 12b.
- a second support surface S that supports the peripheral portion of the wafer W is formed on the top of the peripheral convex portion 12c.
- the recess 12a adjusts the heat transfer from the susceptor 12 to the wafer W,
- the concave portion 12a in an annular shape so as to surround the central portion of the susceptor 12, the central portion of the wafer W can be centered from the central convex portion 12b. Heat transfer to the part is maintained.
- the precoat film is formed, the effect of heat release on the support member 13 becomes obvious, the temperature of the central part of the susceptor 12 decreases, and the temperature of the central part of the wafer W decreases accordingly.
- the temperature in the intermediate region between the central part and the peripheral part of the wafer W is lowered, and the temperature in the wafer surface is made substantially uniform. Can do.
- the diameter D of the central protrusion 12b is substantially equal to the diameter D of the support member 13, or the diameter D
- 1 2 2 is formed to be slightly larger than the diameter D. That is, it is preferable that the inner peripheral end of the recess 12a is positioned directly above or slightly outside the outer periphery of the support member 13.
- the central convex portion 12b is a portion where heat escape is promoted by the support member 13 that supports the lower surface of the susceptor 12. Therefore, the area of the central convex portion 12b is made to substantially correspond to the cross-sectional area of the support member 13. It is preferable.
- the area of the recess 12a is also preferably determined according to the cross-sectional area of the support member 13. For example, it is preferable to reduce the cross-sectional area of the support member 13 to reduce the heat escape, so that the formation region of the recess 12a can also be reduced.
- the recess 12a can be formed so as to overlap the inner heater 15a when the heater is formed in two zones, the inner zone and the outer zone, where the temperature of the susceptor 12 is likely to be the highest.
- the recess 12a should be formed so as to overlap the area between the heater 15a and the heater 15b.
- the outer edge of the recess 12a that is, the boundary with the peripheral convex portion 12c (the inner periphery of the peripheral convex portion 12C) is set so as to be located 1 to 30 mm inside the outer periphery of the wafer W due to the force depending on the diameter of the susceptor 12 It is preferable to do.
- the shape of the recess is not limited to the mode shown in FIG. 2 (recess 12a) as long as the desired uniformity of the in-plane temperature of wafer W is obtained.
- a concave portion 112a shown in FIG. 3 may be formed so as to become shallower in a curved shape (for example, a mortar shape) from the center side to the peripheral portion side of the susceptor 12, or, for example, the concave portion shown in FIG.
- the shape should be such that the susceptor 12 becomes shallower in cross-section as it goes toward the peripheral edge of the center side force.
- the center side force of the susceptor 12 may also be a concave portion 112c that linearly shallows in accordance with the directional force toward the peripheral edge side, and further, for example, as shown in FIG.
- the center-side force of the septa 12 may be a concave portion 112d having a V-shaped cross-sectional view that becomes deeper and deeper toward the peripheral edge side and further shallower on the peripheral edge side.
- the central portion side force of the susceptor 12 is increased toward the peripheral portion side.
- You may provide the recessed part 112e of the shape in which the 1st bottom part 113, the 2nd bottom part 114, and the 3rd bottom part 115 were formed.
- the depth of the recess 112e is such that the third bottom 115 is the shallowest, the second bottom 114 is the deepest, and the first bottom 113 is formed at an intermediate depth between the second bottom 114 and the third bottom 115. Yes.
- the depth of each bottom can be determined by heating the wafer W using the planar susceptor 12 and measuring the temperature distribution of the wafer W.
- a recess is formed deeply to increase the gap, and the region on the susceptor 12 corresponding to the portion of the wafer W where the temperature is low is defined. Then, make the recess shallow and set the gap small!
- each recess is emphasized. Further, it is preferable that the illustrated recesses 12a, 112a, 112b, 112c, 112d, and 112e are rounded and the squares forming the corners of the four corners are rounded (chamfered).
- the heaters are separately provided as an inner heater 15a and an outer heater 15b as shown in Fig. 1, for example, and the heaters 15a and 15b are separately provided. Even if the temperature distribution is finely adjusted by power control, it is possible to perform more accurate temperature control without causing the susceptor 12 to crack or break.
- the heater may be a single heater that does not need to be in the form of two separately arranged as shown in FIG. Even if there is a single heater or a plurality of two or more heaters, for example, a large-diameter wafer W of 300 mm or more maintains the uniformity of the in-plane temperature.
- the recess 12a is provided as in the present invention. It is particularly effective to control the temperature in the wafer W plane.
- FIG. 8 is a cross-sectional view of the main part showing the internal structure of the support member 13.
- the support member 13 mainly includes a substantially cylindrical support body 50 that supports the susceptor 12, and a mounting plate 51 that is disposed at a lower portion of the support body 50 and has a material force such as nickel, aluminum, and SUS. And a terminal box 52 attached to the attachment plate 51.
- the mounting plate 51 and the terminal box 52 made of a material such as aluminum are fixed by means such as screwing, for example, and the mounting plate 51 is fixed by a presser ring 53.
- the support body 50 and the mounting plate 51 are sealed by a face seal on each surface of the support body 50 and the mounting plate 51, and the mounting plate 51 is sealed by the flange 52a of the terminal box 52 and the O-ring.
- the flange 52a of the terminal box 52 made of a material such as nickel, aluminum, or SUS is airtightly fixed to the bottom wall 36a of the exhaust chamber 36 by fixing means (not shown).
- the support 50 is made of a material with excellent corrosive gas resistance and plasma resistance, such as Al 2 O,
- Ceramic material forces such as A1N, SiC and Graphite can also be configured.
- aluminum nitride is used.
- thermocouple feed line 57 for feeding a feed line 17a, a feed line 17b, and a thermocouple (TC) 16b is disposed inside the support body 50 having a substantially cylindrical shape.
- the power supply lines 17a and 17b are covered by a covering portion 54 that is also surrounded by an insulating material (for example, ceramics such as AlO).
- feeder lines 17a and 17b are inserted into the susceptor 12 through the insulating plate 55.
- the feeders 17a and 17b and the thermocouple feeder 57 are supported so as not to contact each other.
- FIG. 9 (a) is a horizontal sectional view showing an arrangement example of the heaters 15a and 15b embedded in the susceptor 12.
- FIG. The front end of the feeder 17a is connected to the inner heater 15a at the connecting portions 18a and 18b.
- the power supply line 17b is bent in the lateral direction in the susceptor 12, and connected to the outer heater 15b at the connection portions 18c and 18d.
- the upper end of the thermocouple feed line 57 is passed through the susceptor 12.
- coil heaters 15c and 15d as shown in FIG. 9 (b) can be used as shown in FIG. 9 (b) can be used.
- the inner coil heater 15c is connected to the tip of the feeder 17a at the connection portions 18e and 18f, and the outer coil heater 15d is arranged to be connected to the tip of the feeder 17b at the connections 18g and 18h. .
- thermocouple power supply line 57 The lower ends of the power supply lines 17a and 17b and the thermocouple power supply line 57 pass through the walls of the mounting plate 51 and the terminal box 52 and are inserted into the terminal box 52.
- the feeder lines 17a and 17b are connected to connection terminals 58a and 58b from the heater power supply 16a.
- reference numeral 56a denotes an insulating material (for example, ceramics such as AlO).
- reference numeral 56b is an insulating material (for example, ceramics such as Al 2 O) and is used to fix the feeders 17a and 17b.
- Reducing gas is introduced and the surface of the susceptor 12 is precoated.
- Yamba 11 is abruptly evacuated to a evacuated state, gate valve 43 is opened, wafer W is loaded into chamber 11 by loading / unloading port 42, and loaded onto susceptor 12. Put. Then, N gas is supplied into the chamber 11 to preheat the wafer W.
- N gas When the temperature of the wafer is almost stabilized, N gas, NH gas that is a reducing gas, or H
- Gas and TiCl gas are introduced at a predetermined flow rate. At this time, preflow is performed on the exhaust line.
- the gas is introduced into the chamber 11 at a predetermined flow rate through the shower head 20, and the heater 15a, 15b is supplied with a predetermined power ratio from the heater power supply 16a while maintaining the pressure in the chamber 11 at a predetermined value. Heating is performed so that the in-plane temperature of the wafer W is uniform by supplying power separately at. In this way, a TiN film is formed on the wafer W.
- the heating temperature of the substrate at this time is about 400 to 700 ° C, preferably about 600 ° C.
- high-frequency power may be supplied from the high-frequency power source 34 to turn the gas into plasma.
- Fig. 10 (a) shows the state before the precoat film is formed on the conventional susceptor 120
- Fig. 10 (b) shows the state where the precoat film is formed on the conventional susceptor 120
- Fig. 10 (c) shows the susceptor with the recess 12a formed. 12 shows a state where a precoat film is formed.
- the numbers 1, 3, 5, 7, 9, 11, and 13 shown in each figure indicate the measurement points when measuring the temperature on wafer W using a thermocouple (TC) wafer. It corresponds to each of 11 measurement points.
- Point 1 is the central portion of the wafer W, and points 11 and 13 mean the peripheral portion of the wafer W.
- the white arrows in FIGS. 10 (a) to 10 (c) indicate the amount of heat released from the susceptor 12, and the black arrows indicate the amount of heat transferred from the susceptor 12 to the wafer W. .
- the wafer W when the central portion and the peripheral portion of the susceptor 120 are compared, the surface area per unit volume is larger at the peripheral portion than at the central portion, so the temperature at which the amount of heat radiation is large becomes nonuniform.
- the wafer W also receives heat reflection from the shower head 20 facing the susceptor 120, and the solid angle of heat reflection from the shower head 20 facing the wafer W is It is small at the peripheral edge that is large at the center. Accordingly, the central portion of the wafer W receives a larger heat reflection and becomes relatively high temperature, and at the peripheral portion, it is relatively low because it is small. Due to these factors, the thermal uniformity of the susceptor (in-plane temperature uniformity of the wafer W) deteriorates.
- the precoat film 121 is formed by precoating the susceptor 120 having a planar wafer support surface, radiant heat from the surface of the susceptor 120 or showering is performed. Since the heat reflection from the head 20 is reduced overall, the in-plane temperature of the wafer W is reduced overall. However, the central part of wafer W (measurement point 1) is the peripheral part (measurement point). In comparison with the intermediate region between measurement points 11 and 13) (measurement points 3 and 7 and measurement points 5 and 9), the temperature at the center and periphery of the wafer W is low and the temperature in the two intermediate regions is low. In-plane temperature distribution with a high temperature and a two-peak shape in the radial direction.
- the influence of the heat escape to the support member on the in-plane temperature distribution of the wafer W is due to the heat radiation from the susceptor 120 and the heat reflection from the shower head 20 in the state where the precoat film is not formed [Fig. 10 (a)]. Since it is large, it does not become so obvious, but after the precoat film is formed, the susceptor 120 in [Fig. 10 (b)] suppresses heat radiation and heat reflection as a whole, and heat transfer to the support member 13 and to the inside of the support member 13 As a result, it is considered that the thermal radiation of the material becomes obvious.
- an intermediate region between the central portion and the peripheral portion of the wafer W (measurement points 3 and 7 and measurement points).
- An annular groove, that is, a recess 12a was provided so as to correspond to the points 5, 9).
- heat transfer to the intermediate region of the wafer W is suppressed. That is, heat transfer from the susceptor 12 to the wafer W is smaller in the recess 12a than in the other regions.
- the temperature in the intermediate region could be lowered until it reached the same level as the central portion and the peripheral portion of the wafer W even in the pre-coated state. Further, as described above, the in-plane temperature of the wafer W can be made uniform with high accuracy by adjusting the shape and depth of the recesses, the pressure in the chamber, and the like.
- the effect of reducing the amount of heat transfer from the susceptor 12 to the wafer W caused by forming the recess is as follows. Depth of the recess (that is, distance from the bottom of the recess to the back side of the wafer W; gap), Depends on factors such as the internal pressure of the chamber, the set temperatures of the heaters 15a and 15b in the susceptor 12, and the presence or absence of pre-coating. Therefore, using the film forming apparatus 100 having the same configuration as that shown in FIG. 1, how much the temperature drop rate due to the gap is affected by the presence / absence of pre-coating, the pressure in the chamber, and the set temperature of the susceptor 12.
- Temperature drop rate refers to how much the temperature of the same measurement point decreases when a recess is formed with respect to the temperature of a certain measurement point on W, when the recess is not formed on the susceptor 12. This is shown as the temperature per 1 mm of the depth (gap) of the recess. This temperature drop rate was calculated as follows.
- the wafer with TC was gradually raised by the wafer support pins 39, and the temperature was measured while changing the distance from the surface of the susceptor 12. From the temperature drop that occurs when the wafer with TC is completely separated from the susceptor 12, the following equation:
- Channo pressure 100Pa, 260Pa, 400Pa, 666Pa, lkPa
- FIG. 12 and 13 are graphs showing the relationship between the temperature drop rate [° C / mm] due to the gap and the pressure in the chamber.
- Fig. 13 shows the case without pre-coat when there is a pre-coat. From FIG. 12 and FIG. 13, it can be seen that the absolute value of the temperature drop rate [° CZmm] due to the gap increases as the pressure in the chamber increases regardless of the presence or absence of pre-coating. Also, as a general trend, the higher the set temperature of the susceptor 12, the more the pressure dependence of the temperature drop rate due to the gap is observed, and the absolute value of the temperature drop rate increases as the pressure increases.
- FIG. 14 and FIG. 15 show the temperature drop rate [° CZmm] due to the gap and the susceptor 12
- FIG. 14 is a graph showing the relationship with the set temperature.
- FIG. 14 shows the case with pre-coating and
- FIG. 15 shows the case without bricote.
- the absolute value of the temperature drop rate [° CZmm] due to the gap increases when the set temperature of the susceptor 12 is around 500 ° C to 600 ° C, but with a precoat It can be seen that the absolute value of the temperature drop rate [° CZmm] peaked out at a temperature of. Also, from Fig.
- the temperature of wafer W was measured by direct measurement using a wafer with TC (thermocouple) and indirect measurement using a wafer for temperature monitoring.
- This wafer for temperature monitoring is a wafer manufactured by implanting impurities in a semiconductor wafer in an ion state (see, for example, JP 2000-208524 A and JP 2004-335621 A).
- the wafer temperature can be indirectly measured by measuring the sheet resistance.
- step Sl temperature measurement is performed for a plurality of points (for example, 5 to 17) on the wafer W (step Sl).
- susceptor set temperature 680 ° C., chamber pressure 260 Pa (condition 1), susceptor set temperature 650 ° C., chamber pressure 666 Pa (condition 2) were performed.
- a region for forming a recess is determined (step S2).
- the peripheral portion of the susceptor 12 is not cut. Specifically, for example, the peripheral portion of the susceptor 12 is left so that the wafer support surface (second support surface S) is formed with a width of 1 to 30 mm inward from the outer peripheral edge of the wafer W. Also at high temperatures
- the center of the susceptor 12 is not cut and the first support surface (S) is formed in order to prevent the function of the recess from being fully exerted when warpage occurs in the Ueno and W.
- the range of the non-cutting region (central convex portion) in the central portion of the susceptor is set to be equal to or slightly larger than the diameter of the support member 13 that supports the susceptor 12.
- a correction value is determined by obtaining a correlation between the measurement value of the temperature monitor wafer and the measurement value actually measured by the wafer with TC, and the correction value is all Apply to the measurement points to know the exact temperature at all measurement points (step S3).
- the temperature measured by the wafer with TC is shown as a black plot (black circle or black rhombus) in Figs. 19 and 20.
- the horizontal axis indicates the radial position on the wafer, and 0 (zero) means the center of the wafer.
- the temperature of the portion to be cut becomes equal to the temperature of the region where the concave portion is not formed.
- the amount of cutting at each measurement point is determined (step S4). The amount of cutting at this time can be calculated by the following formula.
- IJ amount (mm) temperature difference Z temperature drop rate
- the “temperature difference” is the difference between the temperature of the region where the recess is to be formed and the temperature of the region where the recess is not formed. Then, the required amount of cutting is averaged in the circumferential direction (position on the concentric circle on the susceptor 12) to obtain the amount of cutting.
- the susceptor 12 having the recess can be manufactured by cutting the susceptor 12 (step S5).
- FIGS. 17 and 18 show the structure of the susceptor 12 manufactured by the procedure from Step SI to Step S5.
- the susceptor 12 has a structure in which a concave portion 112e having a shape in which a first bottom portion 113, a second bottom portion 114, and a third bottom portion 115 are formed is formed in accordance with the direction force from the central side to the peripheral side of the susceptor 12.
- the radius L of the central convex portion 12b is 45 mm
- the radial width L force of the first bottom 113 is Omm
- the radial width L force of the second bottom 114 is 3 ⁇ 45.
- the radial width L of the third bottom portion 115 is 25 mm
- the radial width L of the peripheral convex portion 12c is
- the gap G of the first bottom 113 is 0.05 mm
- the gap G of the second bottom 114 is 0.13 mm
- the gap G of the third bottom 115 is 0.1 mm.
- the temperature was measured by heating the wafer with TC under the above conditions 1 and 2. The results are shown in Fig. 19 and Fig. 20. It is indicated by a white plot (white circle or white rhombus). From the comparison of the black plot (no recess) and the white plot (recess formation) in FIGS. 19 and 20, the white plot shows the area between the center and periphery of the wafer W (intermediate region). It can be seen that the temperature decreases and the in-plane temperature becomes uniform. Therefore, it was confirmed that the temperature difference in the wafer surface can be reduced by forming the recess 112e.
- the present invention is not limited to the above-described embodiment, and various modifications can be made.
- the present invention is applied to TiN, Ti film formation, and W film formation.
- the present invention is not limited to these films, and can be applied to formation of other CVD films. Is possible.
- film formation other processes are possible as long as the process involves heating.
- the present invention can be applied to an apparatus that simply performs heat treatment.
- the present invention is not limited to this, and can be applied to other substrates, for example, a glass substrate for a liquid crystal display (LCD).
- LCD liquid crystal display
- the in-plane temperature of the large substrate is made uniform by adjusting the temperature by forming recesses. The benefits that can be achieved are significant.
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
- Physical Vapour Deposition (AREA)
Description
明 細 書
基板処理装置およびそれに用いる基板載置台
技術分野
[0001] 本発明は、ウェハ等の基板に対する熱処理または基板を加熱しつつ CVD等の所 定の処理を行う基板処理装置およびそれに用いる基板載置台に関する。
背景技術
[0002] 半導体デバイスの製造工程にお!/ヽては、被処理基板である半導体ウェハ(以下、 単に「ウェハ」と記す)に成膜処理、エッチング処理等の種々のガス処理が施される。 これらの中で、 Ti, TIN, W等の CVD成膜処理においては、ウェハをセラミック製ま たは金属製のサセプタに載置した状態で、抵抗ヒーターまたはランプヒータによって ウェハが例えば 500〜700°C程度まで加熱される。
[0003] この場合に、処理の均一化の観点からウェハ温度の面内分布を均一にする必要が ある。そのためにはサセプタ温度を均一にすることが考えられる。しかし、通常のサセ プタでは、周縁部における放熱量が大きいため、サセプタのウェハ支持面の周縁部 の温度が相対的に低くなりやすい。また、サセプタに対向するシャワーヘッドで反射 されてウェハに入射する熱幅射は、中央部の方が相対的に大きい。その結果、実際 には、ウェハの中央部の温度が高くなり、ウェハ面内での均一な温度分布が得られ ない。
[0004] このような理由から、ウェハ面内で均一な温度を得るためには、サセプタの中央部と 周縁部とで意図的にサセプタへの入熱を変える必要がある。この目的のため、サセ プタを複数の加熱ゾーンに分けて各加熱ゾーンにそれぞれ抵抗ヒーターを配置し、 各ヒーターのパワーを個別的に制御する技術が知られている。しかしながら、セラミツ ク製のサセプタの場合、中央部と周縁部との温度差が大きくなり過ぎると、熱応力に よりサセプタにクラックが入る力、或いは破損するという問題が生うる。従って、この技 術だけでは、ウェハ面内の均一な温度分布を達成するのは困難である。図 21は従 来のサセプタを使用してウェハを加熱した場合のウェハ面内温度の測定結果を示し ている。図 21に四角印のプロットで示すように、周縁部に比べて中央部の温度が高く
なる傾向があった。
[0005] 上記問題を解決するため、サセプタの上面に、サセプタの中央の深さが最も大きく 、中央力 周縁部に向けて浅くなるような形状の凹部を形成することが提案されてい る(例えば、特開 2004— 52098号公報を参照)。
[0006] サセプタ等のチャンバ内部品には、その構成金属元素によるウェハへの汚染を回 避するため、通常、成膜処理の前にプリコートが行なわれている。サセプタのプリコー トは、サセプタにウェハを載置しない状態で実施され、これによりサセプタのウェハ載 置領域を含む全表面にプリコート膜が形成される。このため、サセプタ表面力ゝらの熱 輻射が全体的に抑制される。
[0007] 通常、サセプタは、その底面中央部に接続された支持部材を介してチャンバ底部 に連結されて 、る。この支持部材を介した熱伝導によってもサセプタの熱が逃げるが 、熱伝導量はプリコート膜の有無により変化しない。プリコート膜の形成によりサセプ タ表面からの熱輻射が全体的に抑制された結果、支持部材を介した熱伝導がサセ プタ温度分布に与える影響が大きくなる。このため、支持部材に近くに位置するサセ プタ中央部の温度は、他の部分に比べて相対的に大きく低下し、ウェハ面内温度の 不均一を生じさせる原因となる。
[0008] この問題を解決するため、サセプタ中央部の加熱を受け持つヒーターの発熱量を 周縁部の加熱を受け持つヒーターの発熱量に対して相対的に増大させることが考え られる。しかし、このようにすると、プリコートにより保温され且つ支持部材を介した熱 伝導による冷却の影響をあまり受けないサセプタ中央部と周縁部との間の領域の温 度力 図 21に黒丸印のプロットで示すように高くなつてしまい、十分な面内温度均一 性がやはり得られない。
発明の開示
[0009] 従って、本発明は、ウェハを支持する基板載置台にプリコートを施した場合でも、ゥ ェハの面内温度を均一にすることができる基板載置台および当該基板載置台を備え た基板処理装置を提供することを目的とする。
[0010] 上記課題を解決するため、本発明の第 1の観点によれば、基板に対する熱処理ま たは基板を加熱しつつ所定の処理を行う基板処理装置であって、チャンバと、前記
チャンバ内を減圧する排気手段と、前記チャンバ内で基板を支持する基板載置台と 、前記基板載置台を介して基板を加熱する加熱手段と、を有し、前記基板載置台は
、前記基板載置台の中央部に形成され前記基板を支持する第 1の支持面と、前記基 板載置台の周縁部に形成され前記基板を支持する第 2の支持面と、前記第 1の支持 面と前記第 2の支持面との間に形成された凹部と、を有し、前記基板載置台に載置さ れた前記基板と前記凹部の底面との間にギャップが形成されていることを特徴とする 、基板処理装置が提供される。
[0011] また、本発明の第 2の観点によれば、減圧下に保持されたチャンバ内で基板を支持 し、加熱手段により加熱されてその熱により基板を加熱する基板載置台であって、前 記基板載置台は、前記基板載置台の中央部に形成され前記基板を支持する第 1の 支持面と、前記基板載置台の周縁部に形成され前記基板を支持する第 2の支持面と 、前記第 1の支持面と前記第 2の支持面との間に形成された凹部と、を有し、前記基 板載置台に載置された前記基板と前記凹部の底面との間にギャップが形成されてい ることを特徴とする、基板処理装置が提供される。
[0012] 前述したように、プリコート膜を形成した場合には、基板載置台の中央部と基板載 置台の周縁部の温度よりも、これら中央部と周縁部との間の中間領域の温度が高く なる。中間領域に凹部を形成すると、当該中間領域における基板載置台と基板との ギャップ (距離)が大きくなる。これにより当該中間領域において、基板載置台による 基板加熱効果が抑制される。従って、基板の中央部と周縁部との間の中間領域の温 度を下げ、基板の面内温度を均一化させることができる。
[0013] 基板載置台に基板を載置した際に、微視的に見れば基板載置台と基板との間〖こ は僅かな隙間が形成されている。このような状況において基板の加熱は、基板載置 台からの熱輻射及びガス分子を介した熱伝導によってなされる。ガス分子を介した熱 伝導は、チャンバ内圧力に大きく影響を受ける。また、ガス分子による熱伝達効果も ガス圧力(分圧)によって変化するから、処理の際のガス圧力(分圧)に応じて凹部の 幾何学的形状寸法 (形状、ギャップの深さ及びその分布)を決定することが好ま 、。 そうすれば、基板載置台の複雑な加熱制御の必要性が大幅に低減される。すなわち 、基板載置台を意図的に不均一に加熱することの必要性が無くなるか、或いは大幅
に低減される。
[0014] 前記ギャップの大きさは場所によって異なるようにすることができる。或いは、前記 凹部の底面に段差を設けることができる。
[0015] 好適な一実施形態において、前記凹部の底面は、同心円状に配置された複数の 環状領域を有し、隣接する環状領域の高さ (深さ)が互いに異なる。
[0016] 典型的な一実施形態において、前記基板載置台は、その中央部に接続された支 持部材により支持されている。好ましくは、前記第 1の支持面が設けられている領域 は、前記支持部材が設けられて 、る領域にほぼ対応して 、る。
[0017] 典型的な一実施形態において、前記加熱手段は、前記基板載置台内に埋設され た抵抗ヒーターを有する。加熱手段として、複数のヒーターを使用することができ、好 ましくはこれら複数のヒーターは独立して給電制御される。好適な一実施形態におい て、前記加熱手段は、前記基板載置台の中央部に配置された第 1のヒーターと、前 記第 1のヒーターを囲むように配置された第 2のヒーターと、を有する。好ましくは、こ れら第 1及び第 2のヒーターは独立して給電制御される。
図面の簡単な説明
[0018] [図 1]本発明の一実施形態に係る成膜装置を示す断面図。
[図 2]図 1の成膜装置に用いられた第 1実施形態のサセプタを示す拡大断面図。
[図 3]第 2実施形態のサセプタを示す断面図。
[図 4]第 3実施形態のサセプタを示す断面図。
[図 5]第 4実施形態のサセプタを示す断面図。
[図 6]第 5実施形態のサセプタを示す断面図。
[図 7]第 6実施形態のサセプタを示す断面図。
[図 8]支持部材の構造を示す断面図。
[図 9]ヒーターの配置を示すサセプタの水平断面図。
[図 10]試験例におけるサセプタの状態を模式的に示す図面であり、 (a)は非プリコー ト状態、(b)はプリコート状態、(c)は凹部を形成したサセプタのプリコート状態を示す
[図 11]ウェハ面内温度の測定結果を示すグラフ図。
[図 12]ギャップによる温度降下率とチャンバ内圧力との関係を示すグラフ図(プリコー ト有りの場合)。
[図 13]ギャップによる温度降下率とチャンバ内圧力との関係を示すグラフ図(プリコー トなしの場合)。
[図 14]ギャップによる温度降下率とヒーター設定温度との関係を示すグラフ図(ブリコ ート有りの場合)。
[図 15]ギャップによる温度降下率とヒーター設定温度との関係を示すグラフ図(ブリコ ートなしの場合)。
[図 16]サセプタにおける凹部の作製手順を示すフロー図。
[図 17]凹部が形成されたサセプタの構造を示す平面図。
[図 18]凹部が形成されたサセプタの構造を示す断面図。
[図 19]凹部の有無におけるサセプタ上のウェハ面内の温度分布を示すグラフ図。
[図 20]凹部の有無におけるサセプタ上のウェハ面内の温度分布を示すグラフ図。
[図 21]従来のサセプタを使用した場合のウェハ面内温度の測定結果を示すグラフ図 発明を実施するための最良の形態
[0019] 以下、図面を参照しながら、本発明の好ましい形態について説明する。
図 1は、本発明の第 1の実施形態に係る成膜装置を示す断面図である。この成膜 装置 100は、 TiN膜または Ti膜を成膜するためのものであり、略円筒状のチャンバ 1 1を有している。チャンバ 11の内部には、被処理基板であるウェハ Wを水平に支持 するための円盤状のサセプタ 12がその中央下部に設けられた円筒状の支持部材 13 により支持された状態で配置されている。サセプタ 12は、例えば、 Al O、 A1N等の
2 3
セラミック製であり、ここでは A1Nが用いられる。後で詳細に説明するように、そのゥェ ハ支持面の中央部の外側に凹部 12aが形成されて 、る。サセプタ 12の外縁部には ウェハ Wをガイドするためのガイドリング 14が設けられている。
[0020] また、サセプタ 12には加熱手段としてのヒーター 15aおよびヒーター 15bが埋め込 まれている。ヒーター 15aは、サセプタ 12の主として中央部を加熱するための抵抗力口 熱ヒーターとして構成されており、給電線 17aによりヒーター電源 16aと電気的に接続
されている。また、ヒーター 15bは、サセプタ 12の主として周縁部を加熱するための 抵抗加熱ヒーターとして構成されており、給電線 17bにより、ヒーター電源 16aと電気 的に接続されている。ヒーター 15aおよび 15bは、例えばコイル状ヒーターまたはパタ ーンヒーターとして構成されている。これらのヒーター 15a, 15bへの電力供給は、そ れぞれ独立して給電されることにより加熱温度が制御される構成になっており、これ によって被処理基板であるウェハ Wを所定の温度に加熱する。
また、サセプタ 12には熱電対 16bが配備され、サセプタ 12の温度を検知してヒータ 一電源 16aにフィードバックすることにより温度制御が行なわれる。
[0021] 図示しないが、サセプタ 12の表面近傍には、 W、 Mo等の金属や合金からなる電極 が埋設されており、プラズマ処理する際にプラズマの安定性を維持するために用いら れる。また、この電極に高周波電源を接続して所定の周波数の高周波ノ ィァスを印 加することにより成膜分子をウエノ、 Wに引き込んでホール内の膜形成を効果的に行 うことができる。
[0022] チャンバ 11の天壁 11aには、絶縁部材 19を介してシャワーヘッド 20が設けられて いる。このシャワーヘッド 20は、上段ブロック体 20a、中段ブロック体 20b、下段ブロッ ク体 20cで構成されている。下段ブロック体 20cにはガスを吐出する吐出孔 27と 28と が交互に形成されている。上段ブロック体 20aの上面には、第 1のガス導入口 21と、 第 2のガス導入口 22とが形成されている。上段ブロック体 20aの中では、第 1のガス 導入口 21から多数のガス通路 23が分岐して 、る。中段ブロック体 20bにはガス通路 25が形成されており、上記ガス通路 23が水平に延びる連通路 23aを介してこれらガ ス通路 25に連通している。さらにこのガス通路 25が下段ブロック体 20cの吐出孔 27 に連通している。また、上段ブロック体 20aの中では、第 2のガス導入口 22から多数 のガス通路 24が分岐している。中段ブロック体 20bにはガス通路 26が形成されてお り、上記ガス通路 24がこれらガス通路 26に連通している。さらにこのガス通路 26が中 段ブロック体 20b内に水平に延びる連通路 26aに接続されており、この連通路 26aが 下段ブロック体 20cの多数の吐出孔 28に連通している。そして、上記第 1および第 2 のガス導入口 21, 22は、それぞれガスライン 31および 32に接続されている。
[0023] ガス供給機構 30は、ここでは図示しな ヽが、成膜ガス、キャリアガス、クリーニングガ
スのガス供給源、ガス配管、およびマスフローコントローラーを有し、プロセス時には、 ガスライン 31およびガス導入口 21を介して Nガス等のキャリアガスとともに Ti含有ガ
2
スである TiClガスをシャワーヘッド 20へ供給し、ガスライン 32およびガス導入口 22
4
を介して Nガス等の希釈ガスとともに還元ガスである NHガス (TiN膜成膜時)また
2 3
は Hガス (Ti膜成膜時)をシャワーヘッド 20へ供給するようになっている。ガス導入口
2
21からシャワーヘッド 20内へ導入された TiClガスはガス通路 23, 25を経て吐出孔
4
27からチャンバ 11内へ吐出される一方、ガス導入口 22からシャワーヘッド 20内へ導 入された NHガスまたは Hガスはガス通路 24, 26を経て吐出孔 28からチャンバ 11
3 2
内へ吐出される。すなわち、シャワーヘッド 20は、 TiClガスと還元ガスである NHガ
4 3 スまたは Hガスとが全く独立してチャンバ 11内に供給されるポストミックスタイプとな
2
つており、これらは吐出後に混合され反応が生じる。なお、シャワーヘッド 20は、プリミ ックスタイプとしてもよい。チャンバ 11のクリーニング時には、ガス供給機構 30からタリ 一ユングガスとして例えば C1Fガスがガスライン 31およびシャワーヘッド 20を介して
3
チャンバ 11内に供給される。
[0024] シャワーヘッド 20には、整合器 33を介して高周波電源 34が接続されており、必要 に応じてこの高周波電源 34からシャワーヘッド 20に所定周波数の高周波電力が供 給されるようになっている。 Ti膜を成膜する場合は、 TiClと Hとの成膜反応の反応
4 2
性を高めるために、高周波電源 34から高周波電力を供給することにより、シャワーへ ッド 20を介してチャンバ 11内に供給されたガスをプラズマ化してプラズマ CVD成膜 することも可會である。
[0025] チャンバ 11の底壁 l ibの中央部には円形の穴 35が形成されており、底壁 l ibには この穴 35を覆うように下方に向けて突出する凹状の排気室 36が設けられている。排 気室 36の側面には排気管が 37が接続されており、この排気管 37には排気装置 38 が接続されている。そしてこの排気装置 38を作動させることによりチャンバ 11内を所 定の真空度まで減圧することが可能となって 、る。
[0026] サセプタ 12には、ウェハ Wを支持して昇降させるための 3本(2本のみ図示)のゥェ ハ支持ピン 39がサセプタ 12の表面に対して突没可能に設けられ、これらウェハ支持 ピン 39は支持板 40に固定されている。そして、ウェハ支持ピン 39は、エアシリンダ等
の駆動機構 41により支持板 40を介して昇降される。
[0027] チャンバ 11の側壁には、隣接する図示しない搬送室との間でウェハ Wの搬入出を 行うための搬入出口 42と、この搬入出口 42を開閉するゲートバルブ 43とが設けられ ている。
[0028] 上記サセプタ 12のウェハ支持面の中央部の周囲には、環状の凹部 12aが形成さ れている。サセプタ 12のウェハ支持面に凹部 12aを形成することにより、ウェハ Wの 中央部と、周縁部と、その間の中間領域 (凹部形成領域)との温度差を小さくした状 態を形成することができる。これにより、ウェハ Wの温度を均一にすることができる。 すなわち、凹部 12aを形成すれば、その部分はサセプタ 12からの熱伝達が抑制さ れるため、温度が高くなりやすいウェハ Wの中間領域 (ウェハ Wの中央部と周縁部と の間)の温度を凹部 12aがない場合に比べて低くすることができる。よって、このような 凹部 12aを設けることにより、ウェハ Wの面内温度分布を均一化することができる。こ の場合に、サセプタ 12からの熱伝達によるウェハ Wの加熱効果は、サセプタ 12とゥ ェハ Wとの距離(ギャップ)によって変化するので、ウェハ Wとサセプタ 12との間に、 サセプタ 12に載置されたウェハ Wの面内温度が均一になるような空間領域を形成で きるように、凹部 12aの形状や大きさ、深さ(つまり、ギャップ)を設定できる。ギャップ は、 1mm以下、例えば 0. 01mm〜lmmの範囲に設定することが好ましい。
この凹部 12aにおいては、載置されたウェハ Wとサセプタ 12との間に、チャンバ 11 内の圧力に応じて、支持されたウェハ Wの面内温度が均一になるような空間が形成 されている。そして、その空間の内部の圧力とチャンバ 11内の圧力は略同じになって いる。
[0029] 凹部 12aは、例えば図 2に示すように、サセプタ 12の中央部の中央凸部 12bと、サ セプタ 12の周縁部の周縁凸部 12cとの間に均一な深さの溝として形成されて 、る。こ れにより、中央凸部 12bの頂部には、ウェハ Wの中央部を支持する第 1の支持面 S
C
が形成され、周縁凸部 12cの頂部には、ウェハ Wの周縁部を支持する第 2の支持面 Sが形成される。凹部 12aは、サセプタ 12からウェハ Wへの熱伝達を調節し、ウェハ
E
Wの面内温度を均一化するように作用する。また、サセプタ 12の中央部を囲むように 環状に凹部 12aを形成することにより、その内側の中央凸部 12bからウェハ Wの中央
部への熱伝達が維持される。プリコート膜を形成した場合には、支持部材 13への放 熱の影響が顕在化し、サセプタ 12の中央部の温度低下が起こり、これに伴いウェハ Wの中央部の温度も低下する力 凹部 12aを形成し、この部分からのウェハ Wへの 熱伝達を抑制することにより、ウェハ Wの中央部と周縁部との間の中間領域の温度を 低下させ、ウェハ面内の温度を略均一にすることができる。
[0030] 凹部 12aは、支持部材 13の径 Dに対して中央凸部 12bの径 Dが略同等か、径 D
1 2 2 が径 Dに対して僅かに大きくなるように形成することが好ましい。つまり、凹部 12aの 内周端を、支持部材 13の外周の直上もしくは若干外側に位置させることが好ましい。 中央凸部 12bは、サセプタ 12の下面を支持する支持部材 13により、熱の逃げが促 進される部分であるから、中央凸部 12bの面積を、支持部材 13の断面積に略対応さ せることが好ましい。また、凹部 12aの面積も、支持部材 13の断面積に応じて決定す ることが好ましい。例えば、支持部材 13の断面積を小さくして、熱の逃げを小さくする ことが好ましぐこれにより凹部 12aの形成領域も小さくすることができる。
凹部 12aは、サセプタ 12の温度が最も高くなりやすい領域、例えばヒーターを内側 と外側の 2ゾーンに形成する場合には、内側のヒーター 15aに重なるように凹部 12a を形成してもよぐまた、凹部 12aは、ヒーター 15aとヒーター 15bとの間の領域に重な るように形成してちょい。
また、凹部 12aの外縁、すなわち周縁凸部 12cとの境界 (周縁凸部 12Cの内周)は 、サセプタ 12の径にもよる力 ウェハ Wの外周よりも l〜30mm内側に位置するように 設定することが好ましい。
[0031] なお、ウェハ Wの面内温度に所望の均一性が得られれば、凹部 (溝)の形状は、図 2に示す態様(凹部 12a)には限定されない。例えば図 3に示す凹部 112aのように、 サセプタ 12の中央側から周縁部側に向かうに従い曲面状 (例えばすり鉢状)に浅くな るように形成してもよぐあるいは、例えば図 4に示す凹部 112bのように、サセプタ 12 の中央側力 周縁部側に向かうに従い断面視階段状に浅くなるような形状であって ちょい。
また、例えば図 5に示すように、サセプタ 12の中央側力も周縁部側に向力 に従い 直線的に浅くなる凹部 112cであってもよいし、さらには、例えば図 6に示すように、サ
セプタ 12の中央部側力 周縁部側に向かうに従いー且は深くなり、さらに周縁部側 に 、くほど浅くなるような断面視 V字形の凹部 112dであってもよ 、。
[0032] またさらに、凹部の底面に環状に高低差 (段差)が形成された形状であってもよぐ 例えば図 7に示すように、サセプタ 12の中央部側力も周縁部側に向かうに従い、第 1 底部 113、第 2底部 114、第 3底部 115が形成された形状の凹部 112eを設けてもよ い。この場合、凹部 112eの深さは、第 3底部 115が最も浅ぐ第 2底部 114が最も深 ぐ第 1底部 113は第 2底部 114と第 3底部 115との中間の深さに形成されている。各 底部の深さは、平面状態のサセプタ 12を用いてウェハ Wを加熱し、ウェハ Wの温度 分布を測定することにより決定することができる。すなわち、ウェハ W面内で温度が高 い部分に対応するサセプタ 12上の領域では凹部を深く形成してギャップを大きくし、 ウエノ、 W面内で温度が低い部分に対応するサセプタ 12上の領域では凹部を浅く形 成してギャップを小さく設定すればよ!、。
なお、図 2〜図 7では、各凹部の深さを強調して描いている。また、例示した凹部 12 a, 112a, 112b, 112c, 112d, 112e【こお!ヽて、各四咅の隅をなす角咅を丸め(面 取り)加工をしておくことが好ましい。
[0033] 四咅 12a (112a, 112b, 112c, 112d)の深さとウエノヽ Wへの熱伝達量【こ ίまネ目関 関係があり、さらにチャンバ内圧力が高いほどガス分子による熱伝達効率が高まるの で、凹部 12aの深さが同じでもウェハ Wへ熱が伝わりやすくなる。従って、予めチャン バ内のガス圧力に応じて凹部 12aの深さ(すなわち、空間の高さ)と熱伝達量との関 係を把握しておけば、そのプロセスに最適な凹部 12aの深さや形状を選択することが できる。
[0034] また、サセプタ 12に凹部 12aを設けることに加え、ヒーターを例えば図 1のように内 側のヒーター 15aと外側のヒーター 15bとに区別して配備し、各ヒーター 15aと 15bと をそれぞれ別々にパワー制御して温度分布の微調整を行なっても、サセプタ 12にク ラックや破損を生じさせることなぐより高精度な温度制御を行うことができる。ヒーター としては、図 1のように別々に 2つに配置した態様である必要はなぐ単一のヒーター であってもよい。なお、ヒーターが単一である場合でも、あるいは 2つ以上の複数であ る場合でも、例えば 300mm以上の大径ウェハ Wでは、面内温度の均一性を維持す
ることが困難であり、また、サセプタのヒーターパターンやコイルの巻き数の調整も難 しぐサセプタ (セラミックスヒータ)の均熱性の微調整が困難となるので、本発明のよう に凹部 12aを設けてウェハ W面内の温度制御を行うことが特に効果的である。
[0035] 図 8は、支持部材 13の内部構造を示す要部断面図である。支持部材 13は、主要 な構成として、サセプタ 12を支持する略円筒状の支持体 50と、該支持体 50の下部 に配設されたニッケル、アルミ、 SUS等の材質力もなる取付プレート 51と、該取付プ レート 51に取付られた端子ボックス 52と、を備えて ヽる。
[0036] 取付プレート 51とアルミ等の材質の端子ボックス 52とは、例えば螺子止め等の手段 により固定されており、さらに取付プレート 51は押えリング 53によって固定されている 。支持体 50と取付プレート 51とは、支持体 50と取付プレート 51の各々の面で面シー ルによりシールされ、取付プレート 51は、端子ボックス 52のフランジ 52aと Oリングに よりシールされている。ニッケル、アルミ、 SUS等の材質からなる端子ボックス 52のフ ランジ 52aは、排気室 36の底壁 36aに図示しない固定手段により気密に固定されて いる。
支持体 50は、腐食性ガス耐性およびプラズマ耐性に優れた材料、例えば Al O、
2 3
A1N、 SiCやグラフアイトなどのセラミック材料力も構成することができる。ここでは、窒 化アルミを使用している。
[0037] 略円筒状をした支持体 50の内部には、給電線 17a、給電線 17bおよび熱電対 (T C) 16bに給電する熱電対用給電線 57が配設されている。給電線 17a, 17bは、それ ぞれの周囲が絶縁材料 (例えば Al Oなどのセラミックスなど)力もなる被覆部 54によ
2 3
り絶縁被覆されている。給電線 17a, 17bの上部は、絶縁板 55を貫通してサセプタ 1 2内に挿入されている。なお、給電線 17a, 17bおよび熱電対用給電線 57は、互い に接触しな 、ように支持されて 、る。
図 9 (a)は、サセプタ 12に埋設されたヒーター 15a, 15bの配置例を示す水平断面 図である。給電線 17aの先端は、接続部 18a, 18bにおいて内側のヒーター 15aと接 続している。また、給電線 17bは、サセプタ 12内で横方向に折曲し、接続部 18c, 18 dにおいて外側のヒーター 15bと接続している。熱電対用給電線 57の上端は、サセ プタ 12内に揷通されている。
なお、サセプタ 12に埋設されたヒーターとしては、例えば図 9 (b)に示すようなコィ ルヒーター 15c, 15dを用いることも可能である。内側のコイルヒーター 15cは、給電 線 17aの先端と接続部 18e, 18fにおいて接続し、また、外側のコイルヒーター 15dは 、給電線 17bの先端と接続部 18g, 18hにおいて接続するように配備される。
[0038] 給電線 17a, 17bおよび熱電対用給電線 57の下端は、取付プレート 51および端子 ボックス 52の壁を貫通して端子ボックス 52内に挿入されている。この端子ボックス 52 内で給電線 17a, 17bは、ヒーター電源 16aからの接続端子 58a, 58bと接続されて いる。なお、図 8において符号 56aは、絶縁材料 (例えば Al Oなどのセラミックスなど
2 3
)からなり、接続端子 58a, 58bを固定する固定具である。同様に、符号 56bは、絶縁 材料 (例えば Al Oなどのセラミックスなど)カゝらなり、給電線 17a, 17bを固定する固
2 3
定具である。
[0039] 次に、このような成膜装置 100の成膜動作について説明する。
まず、チャンバ 11内にウェハ Wが存在しない状態で、 TiClガスおよび NHガス等
4 3 の還元ガスを導入してサセプタ 12の表面に対するプリコート膜形成処理を行う。
[0040] プリコート処理が終了後、 TiClガスおよび還元ガスを停止し、排気装置 38によりチ
4
ヤンバ 11内を急激に真空排気して引き切り状態とし、ゲートバルブ 43を開にして、搬 入出口 42を介してウェハ搬送装置によりウェハ Wをチャンバ 11内へ搬入し、サセプ タ 12上に載置する。そして、チャンバ 11内に Nガスを供給してウェハ Wを予備加熱
2
してウェハの温度がほぼ安定した時点で、 Nガス、還元ガスである NHガスまたは H
2 3
ガス、および TiClガスを所定流量で導入する。この際、排気ラインにプリフローを行
2 4
つた後、前記ガスをシャワーヘッド 20を介して所定流量でチャンバ 11内に導入し、チ ヤンバ 11内の圧力を所定値に維持しつつ、ヒーター 15a, 15bにヒーター電源 16aか ら所定のパワー比で個別に給電を行うことにより、ウェハ Wの面内温度が均一になる ように加熱する。このようにして、ウェハ W上に TiN膜を成膜する。この際の基板の加 熱温度は 400〜700°C程度、好ましくは 600°C程度である。 Ti膜を成膜する際には、 高周波電源 34から高周波電力を供給してガスをプラズマ化してもよい。このようにプ ラズマを形成する場合には、ガスの反応性が高 、のでウェハ Wの温度は 300〜700 °Cとすることが好ましぐより好ましくは 400〜600°C程度とすることができる。
[0041] 次に、本発明の効果を確認した試験結果について、図 10および図 11を参照しな 力 説明する。図 10 (a)は従来のサセプタ 120にプリコート膜を形成する前の状態、 図 10 (b)は従来のサセプタ 120にプリコート膜を形成した状態、図 10 (c)は凹部 12a を形成したサセプタ 12にプリコート膜を形成した状態をそれぞれ示す。各図に示す 1 , 3, 5, 7, 9, 11および 13の数字は、熱電対 (TC)付ウェハを用いてウェハ W上の 温度測定をした際の測定ポイントを意味しており、図 11の各測定ポイントに対応して いる。ポイント 1がウェハ Wの中央部であり、ポイント 11およびポイント 13はウェハ W の周縁部を意味している。また、図 10 (a)〜(c)における白矢印は、サセプタ 12から の放出熱量の大きさを示しており、黒矢印は、サセプタ 12からウェハ Wへの伝熱量 の大きさを示している。
[0042] まず、図 10 (a)に示すようにプリコート膜を形成しないサセプタ 120に対し、プリコー ト膜形成時のパワー比で温度制御を行うと、前掲の図 21に四角印のプロットで示す ように、ウェハ Wの温度分布は、周縁部(測定ポイント 11, 13)で低ぐ中央部(測定 ポイント 1, 3, 5)で高くなるような熱分布になり、ウェハ Wの中央部と周縁部との温度 格差 (最大温度と最小温度との差)が 15°C程度になる。この理由は以下のとおりであ る。
まず、サセプタ 120の中央部と周縁部とを比較した場合には、単位体積当りの表面 積が中央部よりも周縁部で大きいことから熱放射量が多ぐ温度が不均一になる。ま た、実際の成膜装置内においてウェハ Wは、サセプタ 120と対向するシャワーヘッド 20からの熱反射も受けており、ウェハ Wに対して、対向するシャワーヘッド 20からの 熱反射の立体角は、中央部で大きぐ周縁部で小さい。従って、ウェハ Wの中央部 はより大きい熱反射を受け、相対的に高温になるとともに周縁部ではこれが小さいこ とから相対的に低温となる。これらの要因により、サセプタの均熱性 (ウェハ Wの面内 温度均一性)が悪化する。
[0043] 次に、図 10 (b)に示すように、ウェハ支持面が平面状のサセプタ 120にプリコート 処理をしてプリコート膜 121を形成した場合には、サセプタ 120表面からの輻射熱や 、シャワーヘッド 20からの熱反射が全体的に減少するため、ウェハ Wの面内温度が 全体的に低下する。ところが、ウェハ Wの中央部(測定ポイント 1)は、周縁部(測定ポ
イント 11, 13)との間の中間領域 (測定ポイント 3, 7および測定ポイント 5, 9)に比べ て温度低下が著しくなり、ウェハ Wの中央部と周縁部の温度が低く二つの中間領域 の温度が高い、径方向に 2ピーク型をした面内温度分布となる。つまり、ウェハ Wの 面内温度が均一になるようにパワー比を制御しても、図 11に黒丸印のプロットで示す ような不均一な温度分布が形成される。これは、支持部材 13との接続部にはプリコー ト膜 121が形成できな 、ため、この部分でサセプタ 120から支持部材 13への熱の逃 げが大きいことによるものである。つまり、支持部材 13への熱逃げ (支持部材 13を介 しての熱伝達と支持部材 13内部空間への熱輻射)がサセプタ 120の中央部の温度 低下を生じさせ、これがウェハ Wの面内温度に反映された結果である。支持部材へ の熱逃げがウェハ Wの面内温度分布に与える影響は、プリコート膜を形成しない状 態 [図 10 (a) ]では、サセプタ 120からの熱輻射やシャワーヘッド 20からの熱反射が 大きいため、あまり顕在化しないが、プリコート膜形成後 [図 10 (b) ]のサセプタ 120 では、熱輻射や熱反射が全体として抑制され、支持部材 13への熱伝達と支持部材 1 3内部への熱輻射が大きいままである結果、顕在化するものと考えられる。
[0044] 本発明の一実施形態であるサセプタ 12においては、図 10 (c)に示すように、ゥェ ハ Wの中央部と周縁部との間の中間領域 (測定ポイント 3, 7および測定ポイント 5, 9 )に対応するように環状に溝、つまり凹部 12aを設けた。凹部 12aでは、サセプタ 12の ウェハ支持面とウェハ Wとの間に空間が形成されるので、ウェハ Wの中間領域への 熱伝達が抑制される。つまり、他の領域に比べて凹部 12aでは、サセプタ 12からゥェ ハ Wへの熱伝達が小さくなる。
従って、図 11に白丸で示すように、プリコート状態でもウェハ Wの中央部や周縁部 と同程度になるまで中間領域の温度を低下させることができた。また、前記したように 、凹部の形状や深さ、チャンバ内圧力などを調節することにより、高い精度でウェハ Wの面内温度の均一化を図ることが可能になる。
[0045] 次に、本発明の別の実施形態について、図 12〜図 20を参照しながら説明を行なう まず、凹部を形成することにより生じるサセプタ 12からウェハ Wへの伝熱量の減少 効果は、凹部の深さ(つまり、凹部の底からウェハ W裏面までの距離;ギャップ)、チヤ
ンバ内圧力、サセプタ 12におけるヒーター 15a, 15bの設定温度、プリコートの有無 等の要因により左右される。そこで、図 1に示すものと同様の構成の成膜装置 100を 用い、ギャップによる温度降下率が、プリコートの有無、チャンバ内圧力、およびサセ プタ 12の設定温度によってどの程度影響を受けるかについて、以下の条件で試験を 行なった。ここで、「温度降下率」は、サセプタ 12に凹部が形成されていない場合の ウエノ、 W上のある計測ポイントの温度に対して凹部を形成した場合に同じ計測ポイン トの温度がどの程度減少するかを、凹部の深さ(ギャップ) 1mm当たりの温度として示 したものである。この温度降下率は、次のように算出した。
[0046] まず、サセプタ 12に TC付きウェハを載置した状態から、ウェハ支持ピン 39により T C付きウェハを少しずつ上昇させていき、サセプタ 12表面との距離を変化させながら 温度計測を行なった。そして、サセプタ 12から完全に TC付きウェハが離れている状 態で起こる温度降下から、次式;
温度降下 [°C] ZTC付きウェハとサセプタとの距離 (mm) =温度降下率 [°CZmm
]
に基づき温度降下率を算出した。
[0047] <試験条件 >
ガス流量(ガス導入口 21); N 1800mL/min (sccm)
2
ガス流量(ガス導入口 22) ;N 1800mL/min (sccm)
2
ヒーターパワー比(ヒーター 15a/ヒーター 15b) = 1. 00/0. 85
チャンノ 内圧力; 100Pa、 260Pa、 400Pa、 666Pa、 lkPa
ヒーター設定温度; 300。C、 400。C、 500。C、 600。C、 650°C, 680°C, 700°C [0048] 図 12および図 13は、ギャップによる温度降下率 [°C/mm]とチャンバ内圧力との 関係を示すグラフであり、図 12がプリコート有りの場合、図 13がプリコート無しの場合 である。図 12および図 13から、プリコートの有無に関わらず、チャンバ内圧力が高く なると、ギャップによる温度降下率 [°CZmm]の絶対値が大きくなることが読み取れる 。また、全体的傾向として、サセプタ 12の設定温度が高い程、ギャップによる温度降 下率の圧力依存性が観られ、高圧力側ほど温度降下率の絶対値が増カロして 、る。
[0049] 次に、図 14および図 15は、ギャップによる温度降下率 [°CZmm]とサセプタ 12の
設定温度との関係を示すグラフであり、図 14がプリコート有りの場合、図 15がブリコ ート無しの場合である。図 14から、プリコートありの場合には、サセプタ 12の設定温 度が 500°C〜600°C程度までは、ギャップによる温度降下率 [°CZmm]の絶対値が 大きくなつていくが、それ以上の温度になると、温度降下率 [°CZmm]の絶対値が頭 打ちになることがわかる。また、図 15から、プリコートなしの場合には、サセプタ 12の 設定温度が 400°C〜600°C以上になると、温度降下率 [°CZmm]の絶対値が頭打 ちになることがわかる。そして、図 14および図 15より、処理圧力が低いほどギャップに よる温度降下率 [°CZmm]の絶対値が早く頭打ちになる傾向を持つことがわかる。
[0050] 以上の基礎実験の結果を踏まえ、サセプタ 12に形成する凹部の形状を図 16に示 す手順で決定した。
なお、以下の手順において、ウェハ Wの温度は、 TC (熱電対)付きウェハによる直 接計測と、温度モニタ用ウェハによる間接計測によって行った。この温度モニタ用ゥ ェハは、半導体ウェハに不純物をイオンの状態で打ち込んで注入することにより作製 されたウエノヽ(例えば、特開 2000— 208524号公報、特開 2004— 335621号公報 を参照)であり、そのシート抵抗を測定することによりウェハ温度を間接的に計測でき るものである。
[0051] まず、温度モニタ用ウェハを用い、ウェハ W上の複数(例えば 5〜17)のポイントに ついて、温度計測を行う(ステップ Sl)。加熱条件としては、サセプタ設定温度 680°C 、チャンバ内圧力 260Pa (条件 1)とサセプタ設定温度 650°C、チャンバ内圧力 666P a (条件 2)と、の二通りで行った。
[0052] 次に、凹部を形成する領域を決定する (ステップ S 2)。この際、ウェハ W裏面に堆積 物が発生することを防ぐ観点から、サセプタ 12の周縁部は削らないようにする。具体 的には、例えばウェハ Wの外周端から内側に l〜30mmの幅でウェハ支持面(第 2 の支持面 S )が形成されるようにサセプタ 12の周縁部を残しておく。また、高温時に
E
ウエノ、 Wに反りが発生した場合に凹部の機能が十分に発揮されなくなることを防止 するため、サセプタ 12の中央部は削らず、第 1の支持面(S )が形成されるようにする
C
。この場合、サセプタ中央部における非切削領域(中央凸部)の範囲は、サセプタ 12 を支持する支持部材 13の径と同等か、僅かに大きくなるようにする。
[0053] 次に、任意の計測ポイントについて、温度モニタ用ウェハによる計測値と実際に TC 付きウェハにより測定された計測値との相関関係を求めて補正値を決定し、その補 正値を全測定ポイントに適用して全ての測定ポイントにおける正確な温度を把握する (ステップ S3)。この時に、 TC付きウェハにより計測された温度は、図 19および図 20 に黒塗りのプロット(黒丸または黒菱形)として示した。なお、図 19および図 20におい て、横軸はウェハ上の径方向の位置を示しており、 0 (ゼロ)はウェハ中心部を意味す る。
[0054] 次に、図 12〜図 15に示す温度降下率の基礎試験データを参照し、削る部分(凹 部を形成する領域)の温度が、凹部を形成しない領域の温度と同等になるように、各 計測ポイントにおける削り量を決定する (ステップ S4)。この際の削り量は、次に示す 式により算出できる。
肖 IJり量 (mm) =温度差 Z温度降下率
ここで、「温度差」は、凹部を形成する予定の領域の温度と凹部を形成しない領域 の温度との差である。そして、必要となる削り量を例えば周方向(サセプタ 12上の同 心円上の位置)にお 、て平均化して削り量とする。
[0055] このように凹部を形成する領域とその削り量を決定した後、サセプタ 12を切削加工 することによって、凹部を有するサセプタ 12を作製することができる (ステップ S5)。
[0056] 以上のステップ SI〜ステップ S5の手順で作製されたサセプタ 12の構造を図 17お よび図 18に示す。このサセプタ 12は、サセプタ 12の中央部側から周縁部側に向力 に従い、第 1底部 113、第 2底部 114、第 3底部 115が形成された形状の凹部 112e が形成された構造である。ここで、中央凸部 12bの半径 Lは 45mm、凹部 112eにお いて、第 1底部 113の径方向の幅 L力^ Omm、第 2底部 114の径方向の幅 L力 ¾5
2 3 mm、第 3底部 115の径方向の幅 Lが 25mmであり、周縁凸部 12cの径方向幅 Lが
4 5
25mmである。
また、凹部 112eにおいて、第 1底部 113のギャップ Gが 0. 05mm,第 2底部 114 のギャップ Gが 0. 13mm,第 3底部 115のギャップ Gが 0. 1mmである。
2 3
[0057] このような形状で凹部 112eが形成されたサセプタ 12を用いて、前記条件 1、 2で T C付きウェハを加熱して温度計測を実施した。その結果を図 19および図 20において
白抜きのプロット(白丸または白菱形)で示した。図 19および図 20における黒塗りの プロット(凹部なし)と白抜きのプロット(凹部形成)との比較から、白抜きのプロットでは 、ウェハ Wの中央部と周縁部との間(中間領域)の温度が低下して面内温度が均一 化していることがわかる。従って、凹部 112eを形成することにより、ウェハ面内におけ る温度差を小さくできることが確認された。
なお、本発明は上記実施形態に限定されることなく種々変形することが可能である 。例えば、上記実施形態では本発明を TiN、 Ti膜成膜、および W膜成膜に適用した 場合について示したが、これらの膜に限定されず、他の CVD膜の成膜に適用するこ とが可能である。また、成膜に限らず、加熱をともなう処理であれば、他の処理も可能 である。また、単に加熱処理のみを行う装置に適用することも可能である。さらに、基 板として半導体ウェハを用いた場合について示したが、これに限らず他の基板、例え ば液晶表示装置 (LCD)用のガラス基板等にも適用することが可能である。この場合 、基板の大型化に伴い、多数のヒーターを備えた大型の載置台を用いる必要がある ことから、凹部を形成して温度調節することによって大型基板の面内温度の均一化を 図ることができる利点は大きなものとなる。
Claims
[1] 基板に対する熱処理または基板を加熱しつつ所定の処理を行う基板処理装置で あって、
チャンバと、
前記チャンバ内を減圧する排気手段と、
前記チャンバ内で基板を支持する基板載置台と、
前記基板載置台を介して基板を加熱する加熱手段と
を有し、
前記基板載置台は、前記基板載置台の中央部に形成され前記基板を支持する第
1の支持面と、前記基板載置台の周縁部に形成され前記基板を支持する第 2の支持 面と、前記第 1の支持面と前記第 2の支持面との間に形成された凹部と、を有し、前 記基板載置台に載置された前記基板と前記凹部の底面との間にギャップが形成され ていることを特徴とする、基板処理装置。
[2] 前記ギャップの大きさは場所によって異なることを特徴とする、請求項 1に記載の基 板処理装置。
[3] 前記凹部の底面に段差が設けられていることを特徴とする、請求項 2に記載の基板 処理装置。
[4] 前記凹部の底面は、同心円状に配置された複数の環状領域を有し、隣接する環状 領域の高さが互いに異なることを特徴とする、請求項 3に記載の基板処理装置。
[5] 前記基板載置台は、その中央部に接続された支持部材により支持されていることを 特徴とする、請求項 1に記載の基板処理装置。
[6] 前記第 1の支持面が設けられている領域は、前記支持部材が設けられている領域 にほぼ対応していることを特徴とする、請求項 5に記載の基板処理装置。
[7] 前記加熱手段は、前記基板載置台内に埋設された抵抗ヒーターを有することを特 徴とする、請求項 1に記載の基板処理装置。
[8] 前記加熱手段は、複数のヒーターを有することを特徴とする、請求項 1に記載の基 板処理装置。
[9] 前記加熱手段は、前記基板載置台の中央部に配置された第 1のヒーターと、前記
第 1のヒーターを囲むように配置された第 2のヒーターと、を有することを特徴とする、 請求項 1に記載の基板処理装置。
[10] 減圧下に保持されたチャンバ内で基板を支持し、加熱手段により加熱されてその 熱により基板を加熱する基板載置台であって、
前記基板載置台は、前記基板載置台の中央部に形成され前記基板を支持する第
1の支持面と、前記基板載置台の周縁部に形成され前記基板を支持する第 2の支持 面と、前記第 1の支持面と前記第 2の支持面との間に形成された凹部と、を有し、前 記基板載置台に載置された前記基板と前記凹部の底面との間にギャップが形成され ていることを特徴とする、基板処理装置。
[11] 前記ギャップの大きさは場所によって異なることを特徴とする、請求項 10に記載の 基板処理装置。
[12] 前記凹部の底面に段差が設けられていることを特徴とする、請求項 11に記載の基 板処理装置。
[13] 前記凹部の底面は、同心円状に配置された複数の環状領域を有し、隣接する環状 領域の高さが互いに異なることを特徴とする、請求項 12に記載の基板処理装置。
[14] 前記基板載置台は、その中央部に接続された支持部材により支持されていることを 特徴とする、請求項 10に記載の基板処理装置。
[15] 前記第 1の支持面が設けられている領域は、前記支持部材が設けられている領域 にほぼ対応していることを特徴とする、請求項 14に記載の基板処理装置。
[16] 前記加熱手段は、前記基板載置台内に埋設された抵抗ヒーターを有することを特 徴とする、請求項 10に記載の基板処理装置。
[17] 前記加熱手段は、複数のヒーターを有することを特徴とする、請求項 10に記載の基 板処理装置。
[18] 前記加熱手段は、前記基板載置台の中央部に配置された第 1のヒーターと、前記 第 1のヒーターを囲むように配置された第 2のヒーターと、を有することを特徴とする、 請求項 10に記載の基板処理装置。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/989,936 US20100162956A1 (en) | 2005-08-05 | 2006-08-04 | Substrate Processing Apparatus and Substrate Mount Table Used in the Apparatus |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2005227465 | 2005-08-05 | ||
JP2005-227465 | 2005-08-05 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2007018157A1 true WO2007018157A1 (ja) | 2007-02-15 |
Family
ID=37727340
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/JP2006/315525 WO2007018157A1 (ja) | 2005-08-05 | 2006-08-04 | 基板処理装置およびそれに用いる基板載置台 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20100162956A1 (ja) |
KR (1) | KR20070110910A (ja) |
CN (1) | CN101164156A (ja) |
TW (1) | TW200711029A (ja) |
WO (1) | WO2007018157A1 (ja) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008198902A (ja) * | 2007-02-15 | 2008-08-28 | Tokyo Electron Ltd | プラズマ処理装置 |
US20120012556A1 (en) * | 2008-02-27 | 2012-01-19 | Tokyo Electron Limited | Plasma etching apparatus and plasma etching method |
US20140008349A1 (en) * | 2012-07-03 | 2014-01-09 | Applied Materials, Inc. | Substrate support for substrate backside contamination control |
JP2016054257A (ja) * | 2014-09-04 | 2016-04-14 | 国立大学法人 新潟大学 | プラズマエッチング装置 |
CN108335993A (zh) * | 2016-11-29 | 2018-07-27 | 朗姆研究公司 | 台面间区域深度变化的衬底支撑件和温度依赖性制造方法 |
CN111066133A (zh) * | 2017-08-11 | 2020-04-24 | 应用材料公司 | 用于改善热化学气相沉积(cvd)均匀性的设备和方法 |
Families Citing this family (343)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010021510A (ja) * | 2008-06-13 | 2010-01-28 | Canon Anelva Corp | 基板保持装置およびプラズマ処理装置 |
JP5204721B2 (ja) * | 2008-06-16 | 2013-06-05 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP5280901B2 (ja) * | 2009-03-18 | 2013-09-04 | 光洋サーモシステム株式会社 | 基板処理システムおよび基板処理方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8486726B2 (en) | 2009-12-02 | 2013-07-16 | Veeco Instruments Inc. | Method for improving performance of a substrate carrier |
JP5570938B2 (ja) * | 2009-12-11 | 2014-08-13 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
CN102270558A (zh) * | 2010-06-04 | 2011-12-07 | 中微半导体设备(上海)有限公司 | 一种用于真空处理系统的安装装置 |
TW201239124A (en) * | 2011-03-22 | 2012-10-01 | Chi Mei Lighting Tech Corp | Wafer susceptor and chemical vapor deposition apparatus |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) * | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
TWI505400B (zh) * | 2011-08-26 | 2015-10-21 | Lg Siltron Inc | 基座 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP2014093420A (ja) * | 2012-11-02 | 2014-05-19 | Toyota Motor Corp | ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法 |
SG2014013064A (en) * | 2012-11-21 | 2015-02-27 | Ev Group Inc | Accommodating device for accommodation and mounting of a wafer |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
CN103556131B (zh) * | 2013-11-06 | 2016-08-17 | 北京泰科诺科技有限公司 | 一种用于化学气相沉积的高温加热沉积台 |
DE102013113045A1 (de) * | 2013-11-26 | 2015-05-28 | Aixtron Se | Heizvorrichtung |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
TWI734668B (zh) * | 2014-06-23 | 2021-08-01 | 美商應用材料股份有限公司 | 在epi腔室中的基材熱控制 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US20170051402A1 (en) * | 2015-08-17 | 2017-02-23 | Asm Ip Holding B.V. | Susceptor and substrate processing apparatus |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
JP6600588B2 (ja) | 2016-03-17 | 2019-10-30 | 東京エレクトロン株式会社 | 基板搬送機構の洗浄方法及び基板処理システム |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US20180102247A1 (en) * | 2016-10-06 | 2018-04-12 | Asm Ip Holding B.V. | Substrate processing apparatus and method of manufacturing semiconductor device |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
CN106684025B (zh) * | 2016-12-23 | 2019-05-14 | 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) | 一种晶圆定位装置 |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
KR102417931B1 (ko) * | 2017-05-30 | 2022-07-06 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치 및 이를 포함하는 기판 처리 장치 |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
KR102435888B1 (ko) * | 2017-07-04 | 2022-08-25 | 삼성전자주식회사 | 정전 척, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
JP6866255B2 (ja) * | 2017-08-09 | 2021-04-28 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (ja) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | クリーン・ミニエンバイロメントを備える装置 |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
JP7515411B2 (ja) | 2018-06-27 | 2024-07-12 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP7322365B2 (ja) * | 2018-09-06 | 2023-08-08 | 株式会社レゾナック | サセプタ及び化学気相成長装置 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
TWI756590B (zh) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
CN110265323B (zh) * | 2019-05-31 | 2021-09-03 | 拓荆科技股份有限公司 | 具有接点阵列的晶圆加热座 |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210053193A (ko) | 2019-10-29 | 2021-05-11 | 에이에스엠 아이피 홀딩 비.브이. | N형 도핑된 재료를 표면 상에 선택적으로 형성하는 방법, n형 도핑된 재료를 선택적으로 형성하기 위한 시스템, 및 이를 사용하여 형성된 구조체 |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20220106207A (ko) * | 2019-12-05 | 2022-07-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 증착 챔버를 위한 가스 분배 세라믹 가열기 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220017725A (ko) * | 2020-08-05 | 2022-02-14 | 주식회사 원익아이피에스 | 기판지지대 및 이를 포함하는 기판처리장치 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
CN114639631A (zh) | 2020-12-16 | 2022-06-17 | Asm Ip私人控股有限公司 | 跳动和摆动测量固定装置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US20220254634A1 (en) * | 2021-02-11 | 2022-08-11 | Applied Materials, Inc. | Chamber body feedthrough for in chamber resistive heating element |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS61215289A (ja) * | 1985-03-19 | 1986-09-25 | Toshiba Mach Co Ltd | 気相成長装置 |
JPH07169824A (ja) * | 1993-12-13 | 1995-07-04 | Anelva Corp | 基板加熱・冷却機構 |
JPH0997765A (ja) * | 1995-09-29 | 1997-04-08 | Toshiba Corp | 基板処理装置 |
JPH11111707A (ja) * | 1997-10-07 | 1999-04-23 | Hitachi Electron Eng Co Ltd | 気相成長装置 |
JP2000164588A (ja) * | 1998-11-30 | 2000-06-16 | Ebara Corp | 基板加熱方法及び装置 |
JP2000260720A (ja) * | 1999-03-12 | 2000-09-22 | Kokusai Electric Co Ltd | 半導体製造装置 |
JP2001126995A (ja) * | 1999-10-29 | 2001-05-11 | Applied Materials Inc | 半導体製造装置 |
JP2004172463A (ja) * | 2002-11-21 | 2004-06-17 | Kyocera Corp | ウェハ支持部材 |
JP2004200156A (ja) * | 2002-12-05 | 2004-07-15 | Ibiden Co Ltd | 金属ヒータ |
JP2005109169A (ja) * | 2003-09-30 | 2005-04-21 | Ngk Insulators Ltd | 基板加熱装置とその製造方法 |
JP2005197391A (ja) * | 2004-01-06 | 2005-07-21 | Ibiden Co Ltd | プラズマ発生装置用電極埋設部材 |
Family Cites Families (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3146112B2 (ja) * | 1993-12-24 | 2001-03-12 | シャープ株式会社 | プラズマcvd装置 |
US6494955B1 (en) * | 2000-02-15 | 2002-12-17 | Applied Materials, Inc. | Ceramic substrate support |
WO2002044133A1 (en) * | 2000-11-28 | 2002-06-06 | Pfizer Products Inc. | Preparation of sodium-hydrogen exchanger type-1 inhibitors |
-
2006
- 2006-08-04 WO PCT/JP2006/315525 patent/WO2007018157A1/ja active Application Filing
- 2006-08-04 CN CNA2006800129675A patent/CN101164156A/zh active Pending
- 2006-08-04 TW TW095128907A patent/TW200711029A/zh unknown
- 2006-08-04 US US11/989,936 patent/US20100162956A1/en not_active Abandoned
- 2006-08-04 KR KR1020077023028A patent/KR20070110910A/ko not_active Application Discontinuation
Patent Citations (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS61215289A (ja) * | 1985-03-19 | 1986-09-25 | Toshiba Mach Co Ltd | 気相成長装置 |
JPH07169824A (ja) * | 1993-12-13 | 1995-07-04 | Anelva Corp | 基板加熱・冷却機構 |
JPH0997765A (ja) * | 1995-09-29 | 1997-04-08 | Toshiba Corp | 基板処理装置 |
JPH11111707A (ja) * | 1997-10-07 | 1999-04-23 | Hitachi Electron Eng Co Ltd | 気相成長装置 |
JP2000164588A (ja) * | 1998-11-30 | 2000-06-16 | Ebara Corp | 基板加熱方法及び装置 |
JP2000260720A (ja) * | 1999-03-12 | 2000-09-22 | Kokusai Electric Co Ltd | 半導体製造装置 |
JP2001126995A (ja) * | 1999-10-29 | 2001-05-11 | Applied Materials Inc | 半導体製造装置 |
JP2004172463A (ja) * | 2002-11-21 | 2004-06-17 | Kyocera Corp | ウェハ支持部材 |
JP2004200156A (ja) * | 2002-12-05 | 2004-07-15 | Ibiden Co Ltd | 金属ヒータ |
JP2005109169A (ja) * | 2003-09-30 | 2005-04-21 | Ngk Insulators Ltd | 基板加熱装置とその製造方法 |
JP2005197391A (ja) * | 2004-01-06 | 2005-07-21 | Ibiden Co Ltd | プラズマ発生装置用電極埋設部材 |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008198902A (ja) * | 2007-02-15 | 2008-08-28 | Tokyo Electron Ltd | プラズマ処理装置 |
US20120012556A1 (en) * | 2008-02-27 | 2012-01-19 | Tokyo Electron Limited | Plasma etching apparatus and plasma etching method |
US9263298B2 (en) * | 2008-02-27 | 2016-02-16 | Tokyo Electron Limited | Plasma etching apparatus and plasma etching method |
US20140008349A1 (en) * | 2012-07-03 | 2014-01-09 | Applied Materials, Inc. | Substrate support for substrate backside contamination control |
US9490150B2 (en) * | 2012-07-03 | 2016-11-08 | Applied Materials, Inc. | Substrate support for substrate backside contamination control |
JP2016054257A (ja) * | 2014-09-04 | 2016-04-14 | 国立大学法人 新潟大学 | プラズマエッチング装置 |
CN108335993A (zh) * | 2016-11-29 | 2018-07-27 | 朗姆研究公司 | 台面间区域深度变化的衬底支撑件和温度依赖性制造方法 |
CN108335993B (zh) * | 2016-11-29 | 2023-08-18 | 朗姆研究公司 | 台面间区域深度变化的衬底支撑件和温度依赖性制造方法 |
CN111066133A (zh) * | 2017-08-11 | 2020-04-24 | 应用材料公司 | 用于改善热化学气相沉积(cvd)均匀性的设备和方法 |
CN111066133B (zh) * | 2017-08-11 | 2023-08-22 | 应用材料公司 | 用于改善热化学气相沉积(cvd)均匀性的设备和方法 |
US11939675B2 (en) | 2017-08-11 | 2024-03-26 | Applied Materials, Inc. | Apparatus and methods for improving thermal chemical vapor deposition (CVD) uniformity |
Also Published As
Publication number | Publication date |
---|---|
TW200711029A (en) | 2007-03-16 |
KR20070110910A (ko) | 2007-11-20 |
CN101164156A (zh) | 2008-04-16 |
US20100162956A1 (en) | 2010-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2007018157A1 (ja) | 基板処理装置およびそれに用いる基板載置台 | |
JP2007067394A (ja) | 基板処理装置およびそれに用いる基板載置台 | |
US10170342B2 (en) | Flow controlled liner having spatially distributed gas passages | |
US9096949B2 (en) | Susceptor support portion and epitaxial growth apparatus including susceptor support portion | |
JP5992334B2 (ja) | ウエハのエッジおよび斜面の堆積を修正するためのシャドウリング | |
TW201944523A (zh) | 基板支撐設備、包含其的基板處理設備以及基板處理方法 | |
US11420217B2 (en) | Showerhead for ALD precursor delivery | |
US20150368829A1 (en) | Substrate thermal control in an epi chamber | |
TWI803753B (zh) | 具有背側泵送的熱處理腔室蓋 | |
JP2004519089A (ja) | Jmf型ウェハ用ヒーター | |
JP5824582B2 (ja) | 基板支持ユニット及び基板処理装置,並びに基板支持ユニットの製造方法 | |
JP7418567B2 (ja) | 基板のエッジ膜厚均一性を向上させる処理キット | |
US11978646B2 (en) | Thermal chamber with improved thermal uniformity | |
TWI568881B (zh) | 具有相異發射率之區域的面板 | |
JP2004052098A (ja) | 基板処理装置およびそれに用いるサセプタ | |
JP2006080148A (ja) | 基板処理装置 | |
US11211265B2 (en) | Heat treatment apparatus and heat treatment method | |
CN105009263A (zh) | 反射性衬里 | |
TW202027194A (zh) | 氣體分配組件及其操作 | |
JP2010123624A (ja) | 基板処理装置 | |
KR100749375B1 (ko) | 플라즈마 화학 증착 장치 | |
JP7580465B2 (ja) | Ald前駆体送達用シャワーヘッド | |
TWI685583B (zh) | 有機金屬化學氣相沉積設備 | |
KR20190075313A (ko) | 증착 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 200680012967.5 Country of ref document: CN |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 1020077023028 Country of ref document: KR |
|
WWE | Wipo information: entry into national phase |
Ref document number: 11989936 Country of ref document: US |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 06782383 Country of ref document: EP Kind code of ref document: A1 |