KR20080069694A - 기판 홀더의 제조 방법 - Google Patents

기판 홀더의 제조 방법 Download PDF

Info

Publication number
KR20080069694A
KR20080069694A KR1020087014598A KR20087014598A KR20080069694A KR 20080069694 A KR20080069694 A KR 20080069694A KR 1020087014598 A KR1020087014598 A KR 1020087014598A KR 20087014598 A KR20087014598 A KR 20087014598A KR 20080069694 A KR20080069694 A KR 20080069694A
Authority
KR
South Korea
Prior art keywords
wafer
susceptor
substrate
pocket
grid
Prior art date
Application number
KR1020087014598A
Other languages
English (en)
Other versions
KR100893909B1 (ko
Inventor
매튜 쥐 굿맨
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20080069694A publication Critical patent/KR20080069694A/ko
Application granted granted Critical
Publication of KR100893909B1 publication Critical patent/KR100893909B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

웨이퍼 홀더(200) 상에 웨이퍼(16)를 배치시키고 균일한 웨이퍼 온도를 유지하도록 하는 장치 및 방법이 설명된다. 웨이퍼 홀더 또는 서셉터(200)는 표면(229)이 오목한 리세스 또는 포켓(202)을 포함하고 돌출부(220)를 분리하는 복수의 그리드 홈(222)을 포함하는 그리드를 포함한다. 오목도 및 그리드 홈은, 배기영역 또는 웨이퍼의 주변 아래쪽에서 개방된 그리드 홈(222)의 총 단면적과 마찬가지로, 지지된 웨이퍼(16)와 서셉터 표면 사이에 둘러싸인 흐름 체적(248)을 정의한다. 이것들은 열적 균일도를 개선시키고 입자문제를 감소시키는 동시에, 웨이퍼 내려놓기 중의 웨이퍼 미끄러짐과 휨 및 웨이퍼 들어올림 중의 웨이퍼 점착을 감소시키도록 선택된다. 다른 실시예에서, 돌출부가 방사상으로 배치된 얇은 형태의 중앙 위치자(250 또는 252)는 서셉터 포켓(202)의 가장자리 주변에 마련되어, 웨이퍼와 서셉터의 외부숄더(206) 사이의 접촉 가능성을 더욱 감소시킨다. 이러한 형상은 처리중에 웨이퍼를 가로지르는 온도 균일도 및 처리결과의 질을 달성하도록 돕는다.

Description

기판 홀더의 제조 방법{A METHOD OF MANUFACTURING A SUBSTRATE HOLDER}
본 발명은 일반적으로 처리챔버 내의 반도체 기판을 지지하기 위한 서셉터에 관한 것이며, 더욱 상세하게는 기판온도를 균일하기 유지하도록 웨이퍼 지지 표면을 배치하는 것에 관한 것이다.
반도체 제조 처리는, 전형적으로, 제한된 조건 하에서 기판이 챔버 내에 지지된 채로 행해진다. 많은 처리 동안에, 반도체 기판(예, 실리콘 웨이퍼)은 처리챔버 내부에서 가열된다. 예를 들어, 기판은 가열된 웨이퍼 홀더와의 직접적인 물리적 접촉 및/또는 열을 내는 열원으로부터의 복사에 의해 가열될 수 있다. 예를 들어, "서셉터"는 복사열을 흡수하고 흡수된 열을 기판에 전달하는 웨이퍼 지지부이다.
전형적인 처리에서, 반응가스는, 웨이퍼 상에 반응물질 박막의 화학적 증기증착(CVD)을 일으키면서, 가열된 웨이퍼 위를 통과한다. 연속적인 처리를 거쳐, 집적회로 내에 다수의 층이 만들어진다. 다른 전형적인 처리는 물리적 증착, 사진석판술, 건식 식각, 플라즈마 처리 및 고온 어닐링을 포함한다. 대부분의 이러한 처리는 고온을 필요로하며 유사한 반응챔버 내에서 수행될 수 있다.
다양한 처리 파라미터가 세심하게 조절되어야 질이 좋은 증착막을 보장할 수 있다. 중요한 파라미터 중 하나는 처리 시 웨이퍼의 온도이다. 예를 들어, CVD 동안, 웨이퍼 상에 박막을 증착시키기 위하여 처리가스가 가장 효과적으로 반응하는 특정 온도범위가 있다. 약 500℃에서 900℃ 사이의 실리콘 CVD(반응영역(kinetic regime), 실렌을 이용하는 실리콘 CVD에 대하여 약 500℃에서 900℃)와 같이, 물질전달영역(mass transport regime) 이하의 온도에서 온도조절이 특히 중요하다. 이 반응영역에서, 웨이퍼의 표면을 가로지르는 온도가 균일하지 않다면, 증착된 막 두께가 불균일해질 것이다.
최근 몇 년간, 배치식 처리로 달성될 수 있는 것 보다 더욱 정밀한 처리조절에 대한 필요성를 포함하는 다양한 이유로, 큰 직경 웨이퍼의 단일 웨이퍼 처리가 더욱 폭넓게 이용되어 왔다. 전형적인 웨이퍼는 약 150mm(6inch)또는 약 200mm(8inch)의 직경 및 약 0.725mm의 두께를 갖는 실리콘으로 만들어진 것이 가장 평범하다. 최근, 단일 웨이퍼 처리의 장점이 더욱 효과적으로 개발되면서, 약 300mm(12inch)의 직경 및 약 0.775mm의 두께를 갖는 더 큰 실리콘 웨이퍼가 도입되었다. 미래에는 더 큰 웨이퍼까지도 예상된다.
미끄러짐은, 기판이 서셉터 상에 즉시 떨어지는 것을 허용할 만큼 서셉터 리세스(recess) 혹은 포켓 내 가스의 쿠션(cushion)이 충분히 빠르게 배기될 수 없을 경우, 내려놓기 중에 발생한다. 가스가 천천히 배출됨에 따라 기판이 서셉터 위에 순간적으로 부유하고, 중앙에서 벗어나 미끄러지는 경향이 있다. 그러므로, 기판은 의도했던 대로 포켓의 중앙에 놓여지지는 않을 것이며, 기판의 불균일한 가열이 일어날 것이다. 서셉터 포켓의 가장자리로 미끄러지는 것은 기판이 포켓 가장자리와 접촉하는 곳에 국부적인 냉각을 유발하고, 증착되어질 층의 성질에 따른 두께 균일도, 저항성 균일도 및 결정학적 슬립을 나쁘게 한다. 웨이퍼 배치 위치의 불일치로 인한 이러한 불균일성이 공정의 최적화를 상당히 어렵게 만든다. 마찬가지로, 온도의 불균일성은 식각, 어닐링, 불순물 주입(doping), 산화, 질화 및 다른 조작 처리에서 불균일성을 유발할 수 있다.
역으로, 들어올림 시, 가스가 웨이퍼와 포켓의 표면 사이의 작은 공간으로 느리게 흐르기 때문에, 하부 지지부에 기판이 달라붙어 있는 부착이 발생한다. 이것은 기판을 들어올릴 때에 기판과 지지부 사이의 진공효과를 생성한다. 부착은 입자 오염에 대한 잠재적인 기여요소이며, 극단적인 경우에는 1에서 2mm 정도로 기판 홀더를 상승시키게 된다.
휨은 기판 상의 반지름 방향과 축 방향의 온도기울기의 조합에 의해 유발된 기판의 뒤틀림이다. 심각한 휨은 기판을 베르누이 완드(Bernoulli wand)의 바닥측과 접촉하게 할 수 있고, 마찬가지로 다른 로봇 엔드 이동자(robot end effector)와의 상호작용에 영향을 미칠 수 있다. 베르누이 완드의 경우에, 기판의 상측은 베르누이 완드를 긁히게 할 수 있고, 입자오염을 유발할 수 있으며, 생산량을 상당히 감소시킨다. 베르누이 완드의 설계 및 기능은 미합중국특허번호 5,997,588에 개시되어 있으며, 본 명세서에 참조로서 포함되어 있다.
도 1a 및 1b는 서셉터(100) 상에 지지된 웨이퍼(1)를 도시하고, 여기서 서셉터(100)는 그리드된 지지표면(G)을 갖는다. 우선 도 1a를 참조하면, 그리드(G)의 주변 가장자리부(2)에 근접한 웨이퍼(1)의 일부가 그리드(G) 상에 도시된다. 그리드(G)의 상부 표면은 다수의 그리드 홈에 의해 2차원적으로 서로 분리된 다수의 돌출부(3)로 정의된다. 이러한 돌출부(3)는 그리드를 둘러싸는 환형(annular) 숄더의 상부표면에 대하여 리세스된다. 200mm 웨이퍼에 대하여, 200mm 웨이퍼의 두께는 약 0.285inch인 반면, 이 리세스 또는 포켓의 깊이는 약 0.018inch(0.457mm)이다. 그러므로, 웨이퍼(1)의 상부 표면은 숄더(4)의 상부 표면 위로 다소 상승되고, 웨이퍼 상에 층류 가스흐름을 유지하도록 돕는다. 그리드(G)의 외주(5)는 단면이 거의 반원형인 환형 홈(7)에 의해 숄더(4)의 내부 가장자리부(6)로부터 분리된다. 서셉터(100) 내 환형 홈(7)의 깊이는 그리드 홈의 깊이와 거의 같다. 숄더(4)의 내부 가장자리부(6)의 직경은 웨이퍼(1)의 직경보다 다소 커서 포켓 내에서 웨이퍼의 위치를 정하는데 오차(tolerance)를 허용한다. 유사한 그리드된 서셉터는 CVD 반응챔버의 Epsilon™ 시리즈용인 Phoenix, AZ의 ASM America, Inc,로부터 상업적으로 입수할 수 있다.
도 1a에서, 웨이퍼(1)는 웨이퍼 가장자리부(2)와 웨이퍼 주변의 숄더 가장자리부(6) 사이가 동일한 간격을 갖도록 포켓 위에서 중심이 맞춰진다. 그러나 도 1b에 도시된 바와 같이, 처음 배치시, 웨이퍼(1)는 미끄러짐 및/또는 점프하는 경향이 있고, 그것의 외부 가장자리부(2)는 종종 숄더(4)의 내부 가장자리부(6)와 접촉하거나 거의 근접하게 된다. 숄더(4)는 웨이퍼(1) 및 하부 그리드(G) 보다 더 두껍고, 이로 인하여 일반적으로 더 차갑다. 그 결과, 웨이퍼의 가장자리부(2)는 열전도에 의해 냉각되는 경향이 있다. 또한, 웨이퍼 가장자리부(2)는 또한, 숄더 가장자리부(6)에 매우 근접할 경우, 비록 그들이 사실상 접촉하지 않더라도, 복사를 통해 열을 잃게 될 것이다.
본 발명자는 기판의 미끄러짐(slide), 부착(stick) 및 휨(curl)을 포함하는, 서셉터와 관련된 취급문제로부터 야기되는, 생산성에 영향을 미치는 많은 품질 조절에 관한 문제점을 발견하였다. 이러한 문제점들은 고온 처리챔버에서 기판의 배치 및 연속적인 제거 동안에 발생한다.
웨이퍼 가장자리의 냉각은 웨이퍼의 온도를 불균일하게 만들게 된다. 특히, CVD의 경우 반응영역(kinetic regime)에서, 박막 증착속도(및 많은 다른 제조공정이)가 높은 온도 의존성을 갖는다면, 온도가 불균일한 조건하에서 처리된 웨이퍼는 그 웨이퍼에 걸쳐 막 두께 및 저항이 불균일하게 된다. 결론적으로, 온도 균일도를 높이면서도 기판의 들어올림과 내려놓기를 용이하게 하는 개선된 기판 지지부가 요구된다.
이러한 요구를 만족시키는 본 발명의 일 실시예에 따르면, 오목한 표면 내로 확장하는 홈들로 이루어진 그리드를 갖고, 처리를 위하여 실리콘 웨이퍼와 같은 일반적으로 평탄한 기판을 지지할 수 있는 기판 지지부가 제공된다. 상기 오목도 및 홈들은 바람직한 열적 특성들을 유지하면서도 오목도 및 홈은 점착, 미끄러짐 및 휨을 최소화하도록 구성된다. 또한, 상기 지지부를 구성하는 방법과 상부에 기판을 지지하는 지지부가 제공된다.
본 발명의 다른 실시 태양에 따르면, 기판 홀더의 환형 숄더의 내부 가장자 리를 따라 방사상으로 배치된 중앙 위치자(centering locator)가 제공된다. 상기 중앙 위치자는 기판과 환형 숄더 사이에 간격을 만들어 그들 사이의 직접적인 열 접촉을 방지한다.
본 발명의 다른 특징들 및 이점들은 하기의 바람직한 실시예들에 대한 상세한 설명과 첨부된 도면으로부터 명확해질 것이다. 그러나, 이것은 본 발명을 예시하기 위한 것이지, 이에 의해 본 발명이 제한되는 것은 아니다.
본 명세서에 개시된 기판 지지체는 기판 처리 시스템에서 기판을 배치하는 많은 방법에 관하여 종래기술을 능가하는 개선된 특징들을 포함한다. 특히, 기판 하부의 서셉터 포켓 내의 둘러싸인 흐름 체적 및 기판 주변의 배기 영역은 내려놓기 및 들어올림 동안 기판의 미끄러짐 및 부착의 가능성을 감소시키도록 설계된다. 이것은 처리시 서셉터 포켓의 중심부에 기판이 배치된다는 것을 보장한다. 다른 실시예에서, 서셉터 포켓 가장자리 주변의 중앙 위치자들은 기판과 서셉터 외부 가장자리 사이의 접촉 가능성을 더욱 감소시킨다. 서셉터 포켓의 이러한 특징들은 기판의 가장자리와 기판 홀더의 더 차가운 외부 숄더 사이의 접촉을 막고, 이로 인하여, 온도 균일도를 확보하도록 도울 수 있으며, 처리 결과의 질이 우수한 재현성을 갖도록 보장한다.
배경기술에서 전술된 바와 같이, 본 발명자는 통상의 기판 홀더 상으로 그리고 이로부터 기판을 이송하는 것과 관련하여 심각한 문제점을 발견하였다. 상기 홀더 상에 기판을 내려놓는데 있어서, 기판의 미끄러짐은 상기 홀더의 중앙에 기판을 우수한 재현성을 가지면서 정확히 배치하는 것을 어렵게 한다. 또한, 상기 홀더가 가열된 경우, 특히 홀더가 "콜드월(cold wall)" 반응기 내의 가열된 서셉터인 경우, 서셉터 상에 내려진 기판은 기판 내부의 일시적인 온도차 때문에 휘는 경향이 있다. 휨은 "점프"를 유발할 수 있고 원하는 위치로부터 기판을 이동시킬 수 있다. 특히 저온처리에서, 서셉터 상에 기판의 배치를 예측할 수 없기 때문에, 상기 기판의 균일한 가열을 위한 온도 제어 메카니즘을 정확히 조절하기 어렵다.
더욱이, 휨은 웨이퍼 조작 엔드 이동자(end effector)의 긁힘 및 기판의 떨어뜨림을 유발하여, 파티클 오염을 초래할 수 있다. 상기 홀더로부터 웨이퍼를 제거하는데 있어서, 상기 웨이퍼는 홀더에 부착하는 경향(stiction)이 있고, 종종 서셉터를 상승시키고, 지지하는 구조체 밖으로 떨어뜨리고, 이로 인하여 추가적인 파티클 생성을 초래한다. 이러한 파티클 문제는 웨이퍼들 전체 또는 심지어 웨이퍼의 배치들(batches)의 오염을 유발하여, 상당한 수율의 감소를 초래할 수 있다.
도 2는 석영 반응챔버(12)를 포함하는 전형적인 화학 기상 증착(CVD) 반응기(10)를 설명한다. 복사형 가열부재(radiant heating element; 14)는 석영챔버(12) 밖에서 지지되어, 챔버 벽에 의한 상당한 흡수 없이, 챔버(12)에 열 에너지를 제공한다. 바람직한 실시예들은 "콜드월" CVD 반응기의 관점에서 설명되었지만, 본 명세서에 개시된 상기 웨이퍼 지지 시스템이 다른 타입의 반응기에서도 유용성을 갖는다는 것은 자명하다. 특히, 당업자에게 있어서, 기판을 지지하면서 균일하게 가열 또는 냉각시키는 다른 반도체 처리 장치에 대하여 본 명세서에 개시된 웨이퍼 지지 시스템이 적용될 수 있다는 것은 자명하다. 또한, 표준적인 실리콘 웨이퍼의 관점에서 상기 웨이퍼 지지 시스템이 개시되었지만, 본 명세서에 개시된 상기 웨이퍼 지지 시스템은 CVD, 물리 기상 증착(PVD), 식각, 어닐링, 불순물 확산, 사진석판 등과 같은 처리를 겪는 유리와 같은 다른 종류의 기판을 지지하는데 사용될 수 있다. 상기 지지부는 상승된 온도에서 처리 동안 기판을 지지하는데 특별한 유용성이 있다.
예시된 기판은 반응챔버(12) 내의 웨이퍼 지지 구조체 상에서 지지되는, 도 2에 도시된 일반적인 원형 가장자리부(17)를 갖는 반도체 웨이퍼(16)를 포함한다. 상기 지지 구조체는 그 위에 웨이퍼(16)가 놓여지는 웨이퍼 홀더 또는 지지부(200) 및 웨이퍼 홀더(200)을 지지하며 바람직하게는 절연물질로 만들어진 스파이더(spider; 22)를 포함한다. 스파이더(22)는 챔버의 하부벽에 연결된 튜브(26)를 통과하여 하향 연장된 샤프트(24)에 탑재된다. 상기 예시된 실시예에서, 웨이퍼 홀더(200)는 가열부재(14)로부터 복사 에너지를 흡수할 수 있는 서셉터를 포함한다. 상기 서셉터의 상부 표면은 단단하고 일체(one piece)로 제조되는 것이 바람직하다.
중앙 온도센서 또는 열전쌍(28)은 웨이퍼 홀더(200) 근처에서 샤프트(24)와 스파이더(22)를 통하여 연장된다. 또한, 추가적인 주변 열전쌍들(30)이 도시된 바와 같이 웨이퍼 홀더(200) 및 웨이퍼(16)를 둘러싸고 있는 슬립 링 또는 온도 보상 링(32) 내에 수용된다. 열전쌍(28, 30)은, 열전쌍(27, 30)의 값에 응답하여 다양한 가열부재(14)의 전력을 설정하는 온도조절기(미도시)에 연결된다.
슬립 링(32)은 열전쌍(30)을 수용하면서 고온 처리 동안 복사열을 흡수한다. 이것은 웨이퍼 가장자리부(17)에서 열 손실이 더 커지는 경향, 즉 그러한 가장자리 부근에서 소정의 체적에 대한 표면적이 더 크게 집중됨으로써 발생하는 것으로 알려진 현상을 보상한다. 가장자리의 손실 및 웨이퍼(16)의 전역에 걸쳐 존재하는 방사상의 온도 불균일성을 최소화시킴으로써, 슬립 링(32)은 결정학상의 슬립 및 웨이퍼에 걸친 온도 불균일성과 관련된 다른 문제들을 방지하는데 유용할 수 있다. 슬립 링(32)은 적합한 수단에 의해 현수될 수 있다. 예를 들어, 도시된 슬립 링(32)은 석영 챔버 칸막이(36)에 연결된 엘보우(34)에 놓여 있다.
도 3 내지 도 6을 참조하면, 바람직한 실시예의 웨이퍼 홀더가 도시된다. 전술한 바와 같이, 설명된 웨이퍼 홀더는 가열부재(14)로부터 복사열을 흡수할 수 있는 서셉터(200)이다. 서셉터(200)는 탄화규소로 코팅된 그라파이트(graphite)로 만들어진 것이 바람직하며, 당업자는 다른 물질 또한 적합하다는 것을 충분히 인식할 것이다. 도시된 서셉터(200)는 지지될 웨이퍼보다 상당히 더 무거운 형태, 바람직하게는 5배 이상, 더욱 바람직하게는 약 7배 내지 9배의 더 무거운 형태일 수 있으며, 이로 인하여, 서셉터(200)는 온도 안정성을 유지시키기 위한 "열적 플라이휠(thermal flywheel)"로 기능할 수 있다. 또한, 바람직하게는, 서셉터(200)는 "속이 찬(solid)" 것으로서, 즉, 그것을 관통하는 개구부를 포함하지 않을 수 있다. 그러한 개구부는 열적 특성을 변경시킬 뿐만 아니라, 이면 증착의 위험을 초래할 수 있다.
도 3a는 상부측에서 관찰되는, 즉, 웨이퍼가 지지될 리세스된 포켓(202)이 관찰될 수 있는 서셉터(200)의 상면도를 도시한다. 리세스된 포켓(202)은 오목한 표면 내로 절삭되고 환형 홈(204)에 의해 둘러싸인, 수직으로 교차하는 그리드 홈 세트를 갖는다. 이러한 형상은 이하의 도 3d와 관련하여 더욱 상세하게 설명될 것이다. 서셉터 포켓(202)의 일부에 걸쳐서만 도시하였으나, 상기 그리드는 전체 서셉터 포켓(202)에 걸쳐 환형 홈(204)까지 확장한다는 것이 충분히 이해될 것이다. 상승된 숄더(206)는 환형 홈(204)에 외접한다. 예를 들면, 200mm 웨이퍼를 지지하도록 설계된 실시예에서는, 상기 환형 홈의 외부 가장자리까지의 서셉터(200)의 직경은 약 8.000±0.005 inch이거나, 그것이 지지하는 웨이퍼보다 약간 크며, 서셉터의 전체 직경은 약 8.850±0.005inch이다. 포켓의 가장자리에 가장 근접하며, 원주를 따르는 그리드 돌출부들의 상부는 웨이퍼가 놓이는 평면을 한정하고, 상기 평면은, 표준적인 200 mm 웨이퍼의 두께보다 약 0.010 inch 만큼 작은 환형의 상승된 숄더(206)의 상부 표면에 대하여, 약 0.010 및 0.025 inch사이, 더욱 바람직하게는, 약 0.015 내지 0.200 inch, 그리고 가장 바람직하게는, 약 0.018 inch 아래에 있다.
서셉터의 저면도가 도 3B에 도시된다. 바닥 표면(210)은, 약 0.250inch의 폭과 직사각형 단면을 갖는 바닥 홈(214)을 포함하며, 거의 완벽한 동심원을 형성하고, 약 5.250±0.005inch의 외부 직경을 갖는다. 바닥홈(214)은 완벽한 원형을 형성하지 않고, 도 3b의 오른쪽에 도시된 절개부(216)에 의해 중단된다. 도시된 중단 절개부(216)는 약 0.250inch의 길이를 갖는다. 바닥 홈(214)은 스파이더(22)(도 2)의 핑거를 수용하고, 스파이더(22)가 절개부(216)에 의해 지지되는 위 치에서 일단 고정되면, 중단 절개부(216)는 스파이더(22)가 서셉터(200)에 독립하여 회전하는 것을 방지한다.
도 3c는 도 3a의 선 3C-3C를 따라 절취된 서셉터(200)의 주변 부분의 면을 도시하는 단면도이다. 포켓(202)은 도시된 바와 같이 상부 표면에 지면에 수직하는 복수의 평행한 그리드 홈들(222)에 의해 분리된 복수의 그리드 돌출부들(220)을 갖는다. 당업자는 도시된 그리드 홈들(222)과 직각을 이루며 지면에 평행한 유사한 평행한 그리드 홈들의 다른 세트(본 도면에서는 보이지 않음)가 존재하는 것을 충분히 인식할 것이다. 따라서, 돌출부(220)는 그리드 홈들(222)의 일 세트에 의한 2 개의 평행한 측면과 본 도면에 도시되지 않은 그리드 홈들의 다른 세트에 의한 다른 2 개의 평행한 측면에 접하는 작고 사각형상의 섬(island)으로서 이해될 수 있다. 또한, 환형 홈(204), 서셉터 숄더(206) 및 이들의 상대적 위치가 도시되어 있다. 바닥 표면(210)에서, 바닥 홈(214)의 직사각형 단면이 도시되어 있다.
도 3d는 도 3c에서 원으로 표시된 포켓(202) 표면의 상세도이다. 각 그리드 홈(222)은 평탄한 그리드 바닥(또는 저부: 224)과 외향 경사지고 45°의 내각에 대응하는 측벽(226)을 갖는다. 그리드 홈들(222) 사이의 돌출부들(220)은 포켓(202)의 지지 표면을 정의하는 평탄한 상부(228)를 갖는다. 도시된 실시예에서, 그리드 홈들(222)의 평탄한 바닥부(224)는 약 0.221 inch (0.56 mm)의 폭을 갖지만, 상부는 약 0.008 inch×0.008 inch (0.2 0mm×0.20 mm)의 폭과 깊이를 갖는 사각형이다.
돌출 상부(228)와 그리드 홈 바닥(224) 사이의 높이차는 바람직하게는 약 0.35 mm 내지 0.55 mm 이고, 더욱 바람직하게는 약 0.40 mm 내지 0.45 mm(도시된 실시예에서는 표면상 0.43 mm 즉 0.17 inch)이다. 상기 그리드의 피치, 즉, 서로 닮은 인접 형상물들 사이의 거리는, 2 개의 방향으로, 바람직하게는 약 1.0 mm 내지 1.5 mm 이며, 더욱 바람직하게는, 약 1.2 mm 내지 1.3 mm 이다(도시된 실시예에서는 표면상 1.27 mm 또는 0.050 inch 임).
유사한 그리드된 서셉터는 Epsilon™ 시리즈의 CVD 반응기용인 Phoenix, AZ의 ASM America, Inc.로부터 입수할 수 있다. 그러나, 이들 서셉터는 다른 그리드 구성을 가진다. 예를 들면, 종래의 서셉터에서 그리드의 피치는 상술한 바람직한 실시예의 피치의 약 반이었다. 또한, 종래의 서셉터는, 상기 그리드의 외주부와 비교시, 오목도에 대한 최소 제조 허용오차(예를 들면, 0 내지 0.005 inch, 즉 0.127 mm)의 예외를 가지며, 단순히 곡면 형상을 피하기 위해, 거의 평면이 되도록 설계되었다. 도 4와 관련하여 이하에서 개시된 바와 같이, 바람직한 실시예들은 개선된 웨이퍼 취급을 위하여 상당한 정도의 오목도 또는 볼(bawl) 형상의 특징을 갖는다.
도 4는 서셉터(200)의 상부의 단면을 도시한다. 서셉터의 중심선(240)으로부터 환형 숄더(206)까지가 도시되어 있다. 환형 숄더(206)의 일부만이 도시된다. 포켓(202)의 상부 표면(229)은 그리드 돌출부(220)의 상부 표면(228)에 의해 정의된다. 상부 표면(229)은 주변 그리드 돌출부(242)로부터 중앙 그리드 돌출부(244)까지 점차적으로 하향 경사져 있다. 또한, 비록 도시되지는 않았지만, 상부 표면(229)은 중앙 그리드 돌출부(244)로부터 포켓(220)의 타단부의 다른 주변 그리드 돌출부(220)까지 상향 경사져 있다는 것이 이해될 것이다. 그러므로 포켓(202)은 전체적으로 오목한 형상을 형성하면서 원형 대칭을 갖는다. 상기 서셉터 포켓 표면의 오목도 또는 그리드 구조는 설명을 위하여 과장되었다.
상부 표면(229)의 오목도는 숄더(206)의 상부에 대한, 예를 들면, (환형 홈(204)에 인접한) 주변의 그리드 돌출부(242)의 상부와 (포켓(202)의 중앙(240)의) 그리드 돌출부(244)의 상부 사이의 깊이 차이(246)로 정의된다. 오목도(246)는 바람직하게는 약 0.130 mm 내지 0.500 mm 이다. 150 mm 웨이퍼용으로 설계된 서셉터의 경우, 오목도(246)는 바람직하게는 약 0.130 mm 내지 0.200 mm이며, 가장 바람직하게는 약 0.130 mm 내지 0.150 mm 이다(예로서 150 mm 실시예에서는 표면상 0.1397 mm 즉, 0.0055 inch 임). 200 mm 웨이퍼용으로 설계된 서셉터의 경우, 오목도(246)는 바람직하게는 약 0.130 mm 내지 0.250 mm이며, 가장 바람직하게는, 약 0.170 mm 내지 0.220 mm 이다(예로서 200 mm 실시예에서는 표면상 0.1905 mm 즉 0.0075 inch임). 300 mm 웨이퍼용으로 설계된 서셉터의 경우, 오목도(246)는 더욱 바람직하게는 약 0.300 mm 내지 0.500 mm 이며, 가장 바람직하게는 약 0.360 mm 내지 0.400 mm 이다. 상기 기판의 크기가 증가함에 따라, 상기 오목도는 비례 이상으로 증가되는 것이 바람직하다.
도 5는 바람직한 서셉터(200)의 포켓에 배치된 웨이퍼(26)를 도시한다. 배치 형상을 더욱 명확하게 도시하기 위하여, 포켓 표면의 만곡정도와 그리드 구조는 과장되어 있다. 웨이퍼(16)와 하부 서셉터(200) 사이에 "둘러싸인" 체적은 참조 부호 248로 지시되며, 본 명세서에서는, 이하의 설명으로부터 이해되는 바와 같이 "흐름 체적(flow volume)"으로 지칭된다. 둘러싸인 흐름 체적(248)은 웨이퍼(16)의 하부표면(249)과 그리드의 상부 표면(229) 사이의 공간 및 그리드 홈(222) 내의 체적(222), (즉, 하부 표면(222)과 그리드 홈들(222)의 바닥(224) 사이의 체적)을 모두 포함한다.
도 6a는 바람직한 실시예에 따른 서셉터(200) 상에 배치된 기판 또는 웨이퍼(16)의 투시도이다. 잘라낸 부분은 서셉터 포켓(202)의 주변부 또는 그 근처의 돌출부(220) 상에 배치된 웨이퍼(16)의 가장자리부(17)를 도시한다. 설명을 위하여, 상기 그리드의 스케일은 과장되어 있다.
도 6b는 서셉터 포켓의 외부 가장자리에서 그리드 돌출부(220)와 접촉하는 웨이퍼 가장자리부(17)를 도시한다. 그리드는 상기 그리드 쪽으로 하향하도록 웨이퍼 가장자리부 또는 주변부(17)를 따라 절취된 것이다. 도시된 바와 같이, 이 돌출부는 복수의 그리드 홈들(222)과 교차된다. 따라서, 횡단면은 웨이퍼 가장자리부(12)에서 그리드 홈(222)의 개구부를 나타낸다. 가스(예, 챔버내의 공기 또는 불활성 가스)는 웨이퍼를 내려놓는 동안 이러한 개구부들을 통하여 배기되고 웨이퍼가 들어올려지는 동안 이러한 개구부를 통과해 인입된다. 따라서, 본 명세서에서는 웨이퍼(16) 하부로부터 개방된 그리드 홈들(222)의 단면적의 합을 서셉터(200)의 "배기 영역(escape area)"이라 지칭한다. 총 배기 영역은, 웨이퍼가 서셉터 상에 놓여질 경우 가스가 배출될 수 있거나 웨이퍼를 들어올리는 경우 서셉터 내부로 인입될 수 있는 웨이퍼 주변 부근의 모든 면적의 합이다.
서셉터의 둘러싸인 흐름 체적 및 총 배기 영역은 우수한 열 교환 특성을 유 지하고 웨이퍼의 이면에 처리가스가 도달하는 위험을 최소화하면서도 웨이퍼의 미끄러짐, 부착 및 휨을 최소화하도록 최적화된다. 바람직한 실시예들에서, 이러한 파라미터는 6 inch 즉 150 mm, 8 inch 즉 200mm 및 12 inch 즉 300 mm의 직경을 갖는 웨이퍼에 대하여 개별적으로 조절된다. 큰 웨이퍼 크기의 경우, 상기 흐름 체적 및 총 배기 영역은, 바람직하게는, 웨이퍼 외면에 도달하기 위해 가스가 이동하는 증가된 거리와 증가된 체적 때문에, 웨이퍼 면적에 비례하는 것 이상으로 증가된다.
전술된 바와 같이, 총 둘러싸인 흐름 체적은 그리드 홈들(222) 내의 체적과 함께 기판 하부 표면(249)과 돌출부 상부(228)로 정의된 서셉터 포켓 상부 표면(229) 사이의 체적을 포함한다. 150 mm 웨이퍼를 지지하도록 설계된 서셉터의 경우, 총 둘러싸인 흐름 체적은 바람직하게는 약 7.5×10-6m3 내지 10.0×10-6m3 이며, 더욱 바람직하게는, 약 8.0×10-6m3 내지 9.0×10-6m3 이다. 200 mm 이상의 직경을 갖는 웨이퍼를 지지하도록 설계된 서셉터의 경우, 총 둘러싸인 흐름 체적은 약 1.3×10-5m3 내지 6.0×10-5m3 이다. 200mm 웨이퍼를 지지하도록 설계된 서셉터의 경우, 총 둘러싸인 흐름 체적은, 바람직하게는 약 1.3×10-5m3 내지 4.0×10-5m3 이며, 더욱 바람직하게는, 약 1.4×10-5m3 내지 1.6×10-5m3이다(예로서, 200 mm 서셉터에서는 1.506×10-5m3임). 300 mm 웨이퍼를 지지하도록 설계된 서셉터의 경우, 총 둘러 싸인 흐름 체적은 바람직하게는, 약 3.0×10-5m3 내지 6.0×10-5m3 이며, 더욱 바람직하게는, 약 3.5×10-5m3 내지 4.5×10-5m3이다(예로서 300 mm 서셉터에서는 4.062×10-5m3임).
6 inch, 즉 150mm 웨이퍼의 경우, 서셉터의 배기 영역은, 바람직하게는, 약 0.1×10-4m2 내지 5.0×10-4m2 이며, 더욱 바람직하게는, 약 2.0×10-4m2 내지 3.0×10-4m2 이다. 200 mm 웨이퍼의 경우, 서셉터의 배기 영역은, 바람직하게는, 약 2.0×10-4m2 내지 4.0×10-4m2 이며, 더욱 바람직하게는, 약 2.5×10-4m2 내지 3.5×10-4m2이다(예로서, 200 mm 서셉터에서는 3.096×10-4m2임). 본 발명의 다른 실시예에서, 이러한 파라미터들은 12 inch 즉 300mm 웨이퍼에 경우에도 최적화된다. 배기 영역은, 바람직하게는, 약 3.0×10-4m2 내지 6.0×10-4m2 이며, 더욱 바람직하게는, 약 4.0×10-4m2 내지 5.0×10-4m2이다(예로서, 300 mm 서셉터에서는 4.643×10-4m2임).
바람직한 실시예에서, 평탄한 포켓 표면을 갖는 서셉터는 그라파이트로부터 가공된다. 상기 서셉터는 상승된 온도에서, 바람직하게는 약 1000℃ 내지 1500℃ 에서, 더욱 바람직하게는 1250℃ 내지 1350℃ 에서 탄화규소로 코팅된다. 상기 서셉터가 냉각됨에 따라, 상기 그라파이트와 상기 탄화규소 사이의 열팽창 계수의 불일치로 인하여 포켓 표면이 구부러지면서 서셉터의 오목도를 초래한다. 본 실시예 는 상기 서셉터 포켓 표면에 오목도를 제공하는 바람직한 방법을 설명하기 위한 것이다. 당업자는 상술한 바람직한 실시예들에 따라 오목함을 생성하기 위한 다른 방법을 알 수 있다.
더 깊고 더 넓은 홈과 함께, 서셉터 표면에 오목도를 제공하는 것은 총 둘러싸인 흐름 체적을 생성한다. 배기 영역(웨이퍼 주변부에서 홈 개구부들의 총 단면적)과 함께, 이 흐름 체적은 웨이퍼가 스케이팅하거나 미끄러지기에 충분한 정도의 압력을 갖는 가스의 압축된 쿠션을 생성하지 않고서도 웨이퍼의 신속한 놓여짐을 가능하게 한다. 상기 증가된 흐름 체적은 부분적으로 과도한 압력을 생성하지 않고도 가스의 압축을 흡수하는 것을 도와주고, 배기 영역은, 부분적으로, 상기 가스가 빠르게 배출되도록 하여, 내려놓기 시에 웨이퍼를 일시적으로만 지지할 수 있는 충분치 않은 쿠션을 생성시킨다. 상기 웨이퍼는 웨이퍼 이송 로봇이 프로그램된 중앙위치에서 지지 그리드 상에 놓여진다.
또한, 바람직한 실시예들의 포켓 프로파일을 이용하면, 웨이퍼가 로봇 엔드 이동자에 의해 들어올려질 경우, 웨이퍼와 서셉터 사이에 베르누이 완드와 같은 심각한 흡입 효과가 발생하지 않는다. 이러한 개선된 들어올림은 파티클의 발생을 감소시킨다.
유익하게도, 바람직한 흐름 체적 및 배기 영역은 서셉터에서 수평돌기(ledge)와 같은 급격한 열 전이(thermal transition)를 일으키지 않고 달성된다. 그러므로, 그리드는 전체 웨이퍼 표면 바로 아래에서 지속적으로 확장되고, 중앙 돌출부와 웨이퍼 사이의 작은 공간은 웨이퍼와 서셉터 사이의 열 상호작용에 불리 한 영향을 미치지 않는다. 사실상, 상기 서셉터에 대한 웨이퍼의 더욱 일관된 배치로 인하여, 막 증착 균일도 및 재현성이 개선될 수 있으며, 이로 인하여, 연속적으로 처리되는 다수의 웨이퍼들에 대하여 동일하게 온도 제어를 할 수 있다. 이와 대조적으로, 종래의 서셉터 설계에서는 웨이퍼가 포켓 가장자리와 슬쩍 접촉할 경우 국부적인 냉각점들이 나타났다. 바람직한 실시예에 따른 그리드된 서셉터에 의해 지지된 웨이퍼 상에서 수행된 반복된 증착 시험들은, 우수한 재현성과 증착된 층 두께에 있어서 낮은 표준편차를 나타내었다. 표 1은 새로운 서셉터에 의해 얻어진 증착 균일도를 도시한다.
표 1
웨이퍼 평균 막 두께(Å) 표준편차(Å)
1 1101 5.5
2 1101 7.0
3 1099 7.2
4 1108 8.2
5 1098 7.2
6 1095 7.2
7 1098 7.2
8 1094 6.4
9 1090 7.3
10 1095 6.4
11 1100 6.9
12 1094 7.1
13 1088 6.6
14 1098 7.8
15 1103 6.0
16 1100 7.1
17 1115 8.2
18 1119 8.9
19 1115 9.8
20 1105 8.9
21 1112 7.5
22 1111 8.6
23 1113 9.8
또한, 중심이 맞춰진 향상된 내려놓기에 의해, 처리시 연쇄적인 실패를 회피할 수 있었다. 바람직한 실시예들을 사용하여 수행된 증착에서, 미끄러짐은 관찰 되지 않았고, 웨이퍼는 하강시 중심에 놓여졌으며, 증착된 막은 조절 한계 내에 있었다.
한편, 오목도가 없고 좁은 홈을 포함하는 그리드된 서셉터를 사용하여 수행된 실험에서는, 표 2에서 나타낸 바와 같이, 허용 한도를 초과하는 막들이 관찰되었다. 표 1 및 표 2의 웨이퍼들은, 비록 처리 레시피는 동일하지 않았지만, 동일한 형태의 챔버와 유사한 온도 범위에서 처리되었다.
표 2
웨이퍼 평균 막 두께(Å) 표준편차(Å)
1 1703 12.2
2 1706 8.4
3 1724 10.1
4 1662 48.6
5 1709 7.9
6 1706 12.3
7 1635 45.0
8 1635 42.8
9 1709 8.7
10 1709 11.4
11 1709 8.2
12 1705 11.3
13 1720 7.2
14 1706 8.7
15 1611 53.2
16 1635 44.8
17 1709 7.5
18 1701 13.5
19 1711 15.0
20 1705 16.6
21 1697 16.3
22 1709 10.1
웨이퍼 4, 7, 8, 16 및 17은 매우 높은 표준편차를 가지며, 그 결과, 표 1의 웨이퍼와 비교하여 막 두께에서 훨씬 더 큰 변화율을 갖는다. 이것은 이들 웨이퍼의 경우, 웨이퍼가 미끄러져 서셉터(200)의 숄더(206)와 접촉하는 현상이 발생되었음을 가리킨다. 이러한 접촉에 기인하는 상당한 온도차는 웨이퍼(16)에 걸쳐 증착 율의 편차를 초래하고, 이로 인하여, 막 두께의 불균일을 초래한다.
또한, 서셉터 상부 표면(229)의 오목도(246)(도 4)는 웨이퍼 휨의 발생을 감소시켰다. 도 2를 참조하면, 챔버(12)로 처음 인입되어 서셉터(200) 상에 지지되면, 웨이퍼(16)는 아래쪽부터 불균형하게 가열된다. 따라서, 웨이퍼(16)의 바닥 표면 상의 더 뜨거운 온도는 바닥 표면에서 더 큰 열팽창을 초래하고, 이로 인하여, 소량의 상향 휨이 생긴다. 웨이퍼는 약 0.010 inch의 정도의 오목도를 갖는 볼 또는 오목한 형상을 갖는다. 이 경우, 상기 오목도는 웨이퍼의 가장 높은 지점(일반적으로 가장자리)에서 웨이퍼의 가장 낮은 지점(일반적으로 중앙)까지의 깊이를 지칭한다. 만약 "평탄한" 그리드된 서셉터 상으로 웨이퍼(16)가 놓여진다면, 웨이퍼(16)의 중앙이 먼저 서셉터와 접촉하고, 방사상의 온도 구배를 유발한다. 이것은 휨 효과를 빠르게 악화시켜, 상기 오목도가 접촉시 약 0.350 inch까지 증가되고, 그것이 회수되기 전에 엔드 이동자에 손상을 주고 종종 웨이퍼의 깨어짐을 초래할 수 있다.
반면, 바람직한 실시예의 서셉터 오목도는 웨이퍼가 강하될 때 서셉터가 다소 휘어진 웨이퍼에 더 잘 순응하게 하고, 이에 의해 이들 사이에 더욱 연속적인 열 접촉을 제공한다. 웨이퍼의 휨은 베르누이 완드를 긁히게 하기에는 불충분한 약 0.200 inch의 오목도까지 감소된다. 완드 긁힘 및 부수적인 파티클 문제를 회피함으로써, 수율은 30 %에서 40 %까지 개선되는 것으로 나타났다.
도 7a 및 7b는 센터링이 개선되고 포켓(202)의 가장자리에서 벽과 접촉하는 것에 기인하는 열적 불균일도가 회피되는 다른 실시예에 따라 구성된 서셉터(200) 를 도시한다. 도시된 실시예 또한 그리드된 서셉터를 포함하므로, 이전 실시예와 동일한 구성 부재는 동일한 참조부호로 지시된다.
서셉터(200)는 그 위에 웨이퍼가 배치될 수 있는 중앙 그리드된 포켓(202) 및 포켓(202)의 외주면 상에 복수의 중앙 위치자(250 또는 252)를 포함한다. 위치자들(250 또는 252)은 그리드 이상으로 확장되고, 전술한 바와 같이 웨이퍼가 환형 숄더(206)와 접촉하여 웨이퍼 가장자리에서 냉각을 유발하는 것을 방지한다. 웨이퍼 가장자리는 위치자들(250 또는 252)과 접촉할 수 있으며, 그 결과, 위치자들(250 또는 252)은 이들이 가질 수 있는 어떤 불리한 열 충격을 최소화하도록 설계된다. 도 7a의 중앙 위치자(250)는 상기 위치자의 가장 측만을 따라서 환형 숄더(206)와 접촉한다. 도 7b에 도시된 바와 같이 중앙 위치자와 환형 숄더 사이에 공간을 남기는 것은 바람직한 배치이지만, 도 7a에 도시된 바와 같이, 중앙 위치자가 환형 숄더(206)와 부착된 경우라도, 웨이퍼가 환형의 숄더(206)와 접촉하는 위험은 개선된다. 좁은 위치자는 냉각 효과가 더 클 수 있는 환형 숄더(206)의 더 큰 열 질량(thermal mass)으로부터 웨이퍼를 이격시킨다.
리세스의 원주를 따르거나 도 7a 및 도7b의 표면들(260, 262)을 따르는 각 위치자의 폭은 각각 약 150 mm 미만이다. 상기 위치자의 상부 표면은 환형 숄더(206)의 상부 표면과 대략 동일한 레벨을 갖는다. 도 7a에서, 각 위치자의 상부 표면에서 그 반경은 바람직하게는 약 1.00 내지 2.00 mm이다. 도 7b에서, 각 위치자의 외부 가장자리부(258)는 환형 숄더(206)의 내부 원주로부터 약 0.50 mm 내지 2.00 mm로 이격된다.
위치자들(250, 252)은 그들의 내부 가장자리부들(260, 262)이 각각 웨이퍼의 직경보다 약간 더 큰 직경을 갖는 원을 따르도록 배치된다. 포켓(202)의 가장자리 주변에는 균일하게 이격된, 바람직하게는, 6 내지 10 개의 위치자들이 배치되고, 더욱 바람직하게는, 7 내지 9 개의 위치자들이 배치되며, 가장 바람직하게는, 도 8에 도시된 바와 같이 약 8 개의 위치자들이 배치된다.
본 명세서에 개시된 기판 지지체는 기판 처리 시스템에서 기판을 배치하는 많은 방법에 관하여 종래기술을 능가하는 개선된 특징들을 포함한다. 특히, 기판 하부의 서셉터 포켓 내의 둘러싸인 흐름 체적 및 기판 주변의 배기 영역은 내려놓기 및 들어올림 동안 기판의 미끄러짐 및 부착의 가능성을 감소시키도록 설계된다. 이것은 처리시 서셉터 포켓의 중심부에 기판이 배치된다는 것을 보장한다. 다른 실시예에서, 서셉터 포켓 가장자리 주변의 중앙 위치자들은 기판과 서셉터 외부 가장자리 사이의 접촉 가능성을 더욱 감소시킨다. 서셉터 포켓의 이러한 특징들은 기판의 가장자리와 기판 홀더의 더 차가운 외부 숄더 사이의 접촉을 막고, 이로 인하여, 온도 균일도를 확보하도록 도울 수 있으며, 처리 결과의 질이 우수한 재현성을 갖도록 보장한다.
첨부된 특허청구범위에 의해 한정된 바와 같이, 본 발명의 범주로부터 벗어남이 없이 다양한 수정과 변형이 이루어질 수 있으며, 그러한 모든 수정과 변경은 본 발명의 범주에 속한다는 것은 당업자에게 있어서 자명하다.
도 1a는 서셉터 위 중앙에 맞춰진 웨이퍼의 대략적인 횡단면도,
도 1b는 도 1a의 서셉터 상의 중앙에서 벗어나 배치된 웨이퍼의 대략적인 횡단면도,
도 2는 서셉터 상에 지지된 웨어퍼를 포함하는 전형적인 반응챔버의 대략적인 횡단면도,
도 3a는 본 발명의 바람직한 실시예에 따라 구성된 웨이퍼를 보유하는 그리드된 포켓을 포함하는 서셉터의 평면도,
도 3b는 도 3a의 서셉터의 저면도,
도 3c는 도 3a의 3C-3C선을 따라 얻어진 도 3A의 서셉터의 부분 횡단면도,
도 3d는 도 3C의 지지 그리드 일부의 확장된 횡단면도,
도 4는 본 발명의 바람직한 실시예를 설명하도록 포켓 표면에서 과장된 오목도을 갖는 도 3a의 서셉터 일부의 대략적인 횡단면도,
도 5는 도 3a의 지지된 웨이퍼와 서셉터 사이에 둘러싸인 체적을 설명하는 대략적인 횡단면도,
도 6a는 도 3c의 서셉터 상에 지지된 웨이퍼의 대략적인 투시도 및 부분 절단도,
도 6a는 웨이퍼의 주변 바로 밑에 지지 그리드를 도시한 도 6a에서의 원형으로 그려진 영역의, 웨이퍼 가장자리를 따른, 확대된 횡단면도,
도 7a는 중앙 위치자의 외부 가장자리가 서셉터 숄더와 접촉하는 본 발명의 다른 실시예에 따라 구성된 서셉터 일부의 투시도,
도 7b는 중앙 위치자의 외부 가장자리가 서셉터 숄더로부터 내부 안쪽으로 이격된 본 발명의 일 실시예에 따라 구성된 서셉터 일부의 투시도,
도 8은 도 7b의 다수의 위치자에 의해 서셉터의 중앙에 놓여진 웨이퍼의 대략적인 평면도이다.

Claims (9)

  1. 내려놓기 중에 기판의 미끄러짐을 방지하기 위한 기판 홀더의 제조 방법에 있어서,
    복사 에너지를 흡수할 수 있는 물질로 리세스된 포켓 주변에 환형 숄더를 형성하는 단계,
    상기 포켓의 상부 표면을 0.130 mm 내지 0.500 mm의 오목도를 갖는 오목한 지지 표면으로 형성하는 단계, 및
    상기 포켓의 상부 표면을 절삭하여, 상기 오목한 지지 표면 내로 연장되는 교차된 그리드 홈들을 형성하는 단계를 포함하며,
    상기 오목도는 상기 포켓의 주변 가장자리에서 상기 포켓 내의 중심점까지의 깊이로 측정되는 것인 기판 홀더의 제조 방법.
  2. 제 1 항에 있어서,
    상기 리세스 및 지지 표면은 200 mm 기판을 수용하기 위한 크기를 가지며, 상기 오목도는 0.130 mm 내지 0.250mm 인 기판 홀더의 제조방법.
  3. 제 2 항에 있어서,
    상기 오목도는 0.170 mm 내지 0.220 mm 인 기판 홀더의 제조 방법.
  4. 제 1 항에 있어서,
    상기 오목도 및 지지 표면은 300 mm 기판을 수용하기 위한 크기를 가지며, 상기 오목도는 0.300 mm 내지 0.500 mm 인 기판 홀더의 제조 방법.
  5. 제 4 항에 있어서,
    상기 오목도는 0.360 mm 내지 0.400mm 인 기판 홀더의 제조 방법.
  6. 제 1 항에 있어서,
    상기 그리드 홈들을 형성하는 단계는, 0.35 mm 내지 0.55 mm의 깊이와 인접한 형상물 간 1.0 mm 내지 1.5 mm의 피치로 가공시키는 단계를 포함하는 기판 홀더의 제조 방법.
  7. 제 1 항에 있어서,
    상기 리세스된 포켓 및 지지 표면은 300 mm 기판을 수용하기 위한 크기를 가지며, 상기 오목한 지지 표면에 걸쳐 연장된 평면은 3.0×10-5m3 내지 6.0×10-5m3의 체적을 둘러싸는 기판 홀더의 제조 방법.
  8. 제 1 항에 있어서,
    상기 리세스된 포켓 및 지지 표면은 200 mm 기판을 수용하기 위한 크기를 가 지며, 상기 오목한 지지 표면에 걸쳐 연장된 평면은 1.3×10-5m3 내지 4.0×10-5m3 의 체적을 둘러싸는 기판 홀더의 제조 방법.
  9. 제 1 항에 있어서,
    상기 리세스된 포켓 및 지지 표면은 150 mm 기판을 수용하기 위한 크기를 가지며, 상기 오목한 지지 표면에 걸쳐 연장된 평면은 7.5×10-6m3 내지 10.0×10-6m3 의 체적을 둘러싸는 기판 홀더의 제조 방법.
KR1020087014598A 2000-12-22 2001-12-11 기판 홀더의 제조 방법 KR100893909B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/747,173 US6634882B2 (en) 2000-12-22 2000-12-22 Susceptor pocket profile to improve process performance
US09/747,173 2000-12-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-7008324A Division KR20030063448A (ko) 2000-12-22 2001-12-11 처리성능을 개선하기 위한 서셉터 포켓 프로파일

Publications (2)

Publication Number Publication Date
KR20080069694A true KR20080069694A (ko) 2008-07-28
KR100893909B1 KR100893909B1 (ko) 2009-04-21

Family

ID=25003972

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020087014598A KR100893909B1 (ko) 2000-12-22 2001-12-11 기판 홀더의 제조 방법
KR10-2003-7008324A KR20030063448A (ko) 2000-12-22 2001-12-11 처리성능을 개선하기 위한 서셉터 포켓 프로파일

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR10-2003-7008324A KR20030063448A (ko) 2000-12-22 2001-12-11 처리성능을 개선하기 위한 서셉터 포켓 프로파일

Country Status (6)

Country Link
US (3) US6634882B2 (ko)
EP (1) EP1348230B1 (ko)
JP (1) JP4247429B2 (ko)
KR (2) KR100893909B1 (ko)
DE (1) DE60124952T2 (ko)
WO (1) WO2002065510A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018106039A1 (ko) * 2016-12-08 2018-06-14 주식회사 테스 유기금속화학기상증착장치
KR20180065943A (ko) * 2016-12-08 2018-06-18 주식회사 테스 유기금속화학기상증착장치

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338878A (ja) * 2000-03-21 2001-12-07 Sharp Corp サセプタおよび表面処理方法
EP1393355A2 (de) * 2001-05-18 2004-03-03 Mattson Thermal Products GmbH Vorrichtung zur aufnahme von scheibenförmigen objekten
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
WO2002097872A1 (fr) * 2001-05-31 2002-12-05 Shin-Etsu Handotai Co., Ltd. Procede de production d'une tranche de semi-conducteur et suscepteur utilise a cet effet
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US7022192B2 (en) * 2002-09-04 2006-04-04 Tokyo Electron Limited Semiconductor wafer susceptor
US9627244B2 (en) 2002-12-20 2017-04-18 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
JP2004247387A (ja) * 2003-02-12 2004-09-02 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置
CN100517612C (zh) * 2003-04-02 2009-07-22 株式会社上睦可 半导体晶片用热处理夹具
JP4019998B2 (ja) * 2003-04-14 2007-12-12 信越半導体株式会社 サセプタ及び気相成長装置
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
EP1654752B1 (en) * 2003-08-01 2011-06-29 SGL Carbon SE Holder for supporting wafers during semiconductor manufacture
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
DE20318462U1 (de) * 2003-11-26 2004-03-11 Infineon Technologies Ag Anordnung elektronischer Halbleiterbauelemente auf einem Trägersystem zur Behandlung der Halbleiterbauelemente mit einem flüssigen Medium
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
KR101112029B1 (ko) 2004-02-13 2012-03-21 에이에스엠 아메리카, 인코포레이티드 자동 도핑 및 후면 증착의 감소를 위한 기판 지지 시스템
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
JP4654194B2 (ja) * 2004-09-27 2011-03-16 株式会社アルバック 銅含有膜形成方法
WO2006035879A1 (ja) * 2004-09-30 2006-04-06 Hitachi Kokusai Electric Inc. 熱処理装置及び基板の製造方法
WO2006078666A2 (en) 2005-01-18 2006-07-27 Asm America, Inc. Reaction system for growing a thin film
US8422127B2 (en) * 2005-03-17 2013-04-16 Hamamatsu Photonics K.K. Microscopic image capturing device
JP2006303152A (ja) * 2005-04-20 2006-11-02 Fuji Electric Holdings Co Ltd エピタキシャル成膜装置およびエピタキシャル成膜方法
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
JP4377396B2 (ja) * 2005-07-29 2009-12-02 株式会社ニューフレアテクノロジー 気相成長装置
KR101165466B1 (ko) * 2005-08-31 2012-07-13 엘지디스플레이 주식회사 캐리어 및 이를 구비한 공정 장치
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP2007201417A (ja) * 2005-12-28 2007-08-09 Tokyo Electron Ltd 熱処理用ボート及び縦型熱処理装置
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
KR100804170B1 (ko) * 2006-06-13 2008-02-18 주식회사 아이피에스 웨이퍼블럭
TW200818327A (en) * 2006-09-29 2008-04-16 Sumco Techxiv Corp Silicon wafer heat treatment method
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
US7661544B2 (en) * 2007-02-01 2010-02-16 Tokyo Electron Limited Semiconductor wafer boat for batch processing
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP5537766B2 (ja) * 2007-07-04 2014-07-02 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
WO2009072252A1 (ja) * 2007-12-06 2009-06-11 Shin-Etsu Handotai Co., Ltd. 気相成長用サセプタおよび気相成長装置
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
KR101588566B1 (ko) * 2008-03-20 2016-01-26 어플라이드 머티어리얼스, 인코포레이티드 롤-성형 표면을 갖는 서셉터 및 이를 제조하기 위한 방법
JP5558673B2 (ja) * 2008-03-25 2014-07-23 大日本スクリーン製造株式会社 熱処理装置
US9070590B2 (en) * 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US20090325367A1 (en) * 2008-05-30 2009-12-31 Alta Devices, Inc. Methods and apparatus for a chemical vapor deposition reactor
CN105810630A (zh) * 2008-08-29 2016-07-27 威科仪器有限公司 具有变化热阻的晶片载体
DE102009010555A1 (de) 2009-02-25 2010-09-02 Siltronic Ag Verfahren zum Erkennen einer Fehllage einer Halbleiterscheibe während einer thermischen Behandlung
US20110121503A1 (en) * 2009-08-05 2011-05-26 Applied Materials, Inc. Cvd apparatus
KR100965143B1 (ko) * 2009-10-27 2010-06-25 (주)앤피에스 서셉터 유닛 및 이를 구비하는 기판 처리 장치
KR101125739B1 (ko) * 2010-02-03 2012-03-27 주식회사 엘지실트론 반도체 제조용 서셉터
WO2011139640A2 (en) * 2010-05-06 2011-11-10 Applied Materials, Inc. Improved radiation heating efficiency by increasing absorption of a silicon containing material
WO2012002499A1 (ja) * 2010-06-30 2012-01-05 株式会社アルバック 基板処理装置及び基板冷却方法
US9570328B2 (en) * 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
JP5869899B2 (ja) 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11085112B2 (en) 2011-10-28 2021-08-10 Asm Ip Holding B.V. Susceptor with ring to limit backside deposition
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9376752B2 (en) * 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
DE102012108986A1 (de) * 2012-09-24 2014-03-27 Aixtron Se Substrathalter einer CVD-Vorrichtung
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012022067A1 (de) * 2012-11-09 2014-05-15 Centrotherm Photovoltaics Ag Substrathalter sowie eine vorrichtung und ein verfahren zum behandeln von substraten
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10068791B2 (en) * 2013-03-08 2018-09-04 Semiconductor Components Industries, Llc Wafer susceptor for forming a semiconductor device and method therefor
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN105493260B (zh) * 2013-08-29 2018-07-13 株式会社普利司通 承载器
US9716010B2 (en) 2013-11-12 2017-07-25 Globalfoundries Inc. Handle wafer
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
DE102014106728A1 (de) * 2014-05-13 2015-11-19 Aixtron Se Vorrichtung zum Ausrichten eines Wafers auf einem Waferträger
SG11201608905XA (en) 2014-05-21 2016-12-29 Applied Materials Inc Thermal processing susceptor
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
CN104064458A (zh) * 2014-07-08 2014-09-24 上海先进半导体制造股份有限公司 Pecvd薄膜淀积设备及其热盘
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102015118215A1 (de) 2014-11-28 2016-06-02 Aixtron Se Substrathaltevorrichtung mit vereinzelten Tragvorsprüngen zur Auflage des Substrates
JP6394400B2 (ja) * 2015-01-13 2018-09-26 株式会社デンソー 表面処理装置およびウエハの表面処理方法
KR20170102020A (ko) * 2015-01-23 2017-09-06 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 내의 퇴적 계곡들을 제거하기 위한 신규한 서셉터 설계
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105039933A (zh) * 2015-06-10 2015-11-11 上海新傲科技股份有限公司 用于外延生长的托盘
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101800197B1 (ko) * 2016-05-18 2017-11-22 (주)에스엔텍 증착 챔버 외부로 배출될 수 있는 이너 쉴드와 무게추를 갖는 증착 챔버 장치
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10186448B2 (en) * 2015-12-11 2019-01-22 Lam Research Corporation Wafer support pedestal with wafer anti-slip and anti-rotation features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6572800B2 (ja) * 2016-02-26 2019-09-11 株式会社村田製作所 真空装置
DE102016103530A1 (de) * 2016-02-29 2017-08-31 Aixtron Se Substrathaltevorrichtung mit aus einer Ringnut entspringenden Tragvorsprüngen
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018095916A (ja) * 2016-12-13 2018-06-21 株式会社日立国際電気 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213621A2 (en) * 2017-05-18 2018-11-22 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
USD859484S1 (en) * 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6878212B2 (ja) * 2017-09-07 2021-05-26 昭和電工株式会社 サセプタ、cvd装置及びエピタキシャルウェハの製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11515191B2 (en) 2018-10-26 2022-11-29 Applied Materials, Inc. Graded dimple height pattern on heater for lower backside damage and low chucking voltage
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018131987A1 (de) 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
USD927575S1 (en) 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202129832A (zh) 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁隆起的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202143380A (zh) * 2020-03-21 2021-11-16 美商應用材料股份有限公司 用於快速氣體交換的基座幾何形狀
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH0590238A (ja) * 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5322078A (en) * 1993-02-18 1994-06-21 Kleer-Flo Company Aqueous parts washing apparatus
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US6053982A (en) 1995-09-01 2000-04-25 Asm America, Inc. Wafer support system
US5853214A (en) * 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
KR19990031210U (ko) * 1997-12-30 1999-07-26 김영환 웨이퍼 척 장치
US6264467B1 (en) * 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018106039A1 (ko) * 2016-12-08 2018-06-14 주식회사 테스 유기금속화학기상증착장치
KR20180065943A (ko) * 2016-12-08 2018-06-18 주식회사 테스 유기금속화학기상증착장치

Also Published As

Publication number Publication date
DE60124952T2 (de) 2007-09-20
US6634882B2 (en) 2003-10-21
US20030198910A1 (en) 2003-10-23
US20040137398A1 (en) 2004-07-15
EP1348230B1 (en) 2006-11-29
JP2004519104A (ja) 2004-06-24
DE60124952D1 (de) 2007-01-11
US6729875B2 (en) 2004-05-04
US6840767B2 (en) 2005-01-11
US20030049580A1 (en) 2003-03-13
KR20030063448A (ko) 2003-07-28
EP1348230A1 (en) 2003-10-01
KR100893909B1 (ko) 2009-04-21
WO2002065510A1 (en) 2002-08-22
JP4247429B2 (ja) 2009-04-02

Similar Documents

Publication Publication Date Title
KR100893909B1 (ko) 기판 홀더의 제조 방법
US6776849B2 (en) Wafer holder with peripheral lift ring
US7601224B2 (en) Method of supporting a substrate in a gas cushion susceptor system
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
US20190229008A1 (en) Hybrid lift pin
US6709267B1 (en) Substrate holder with deep annular groove to prevent edge heat loss
EP1719167B1 (en) Substrate support system for reduced autodoping and backside deposition
US7070660B2 (en) Wafer holder with stiffening rib
US20090280248A1 (en) Porous substrate holder with thinned portions
US11961756B2 (en) Vented susceptor
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
WO2016077051A1 (en) New susceptor design to reduce edge thermal peak
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
US6799940B2 (en) Removable semiconductor wafer susceptor
JPWO2002097872A1 (ja) 半導体ウェーハの製造方法及びそれに用いられるサセプタ

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130321

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140320

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20170317

Year of fee payment: 9