JP4247429B2 - 基板ホルダ、サセプタ、基板ホルダの製造方法 - Google Patents

基板ホルダ、サセプタ、基板ホルダの製造方法 Download PDF

Info

Publication number
JP4247429B2
JP4247429B2 JP2002565342A JP2002565342A JP4247429B2 JP 4247429 B2 JP4247429 B2 JP 4247429B2 JP 2002565342 A JP2002565342 A JP 2002565342A JP 2002565342 A JP2002565342 A JP 2002565342A JP 4247429 B2 JP4247429 B2 JP 4247429B2
Authority
JP
Japan
Prior art keywords
substrate holder
substrate
support surface
wafer
susceptor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002565342A
Other languages
English (en)
Other versions
JP2004519104A (ja
Inventor
マシュー,ジー. グッドマン
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2004519104A publication Critical patent/JP2004519104A/ja
Application granted granted Critical
Publication of JP4247429B2 publication Critical patent/JP4247429B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Description

【0001】
(発明の分野)
本発明は、一般的に、プロセスチャンバ中に半導体基板を支持するためのサセプタに関し、より詳細には、一様な基板温度を維持するようにウェハ支持面を構成することに関する。
【0002】
(発明の背景と概要)
半導体製造プロセスは、一般に、制御された条件の下でチャンバ内に支持された基板に対して行われる。多くのプロセスにおいて、半導体基板(例えば、シリコンウェハ)はプロセスチャンバ内で加熱される。例えば、加熱されたウェハホルダとの直接物理接触で、および/または放射加熱源からの放射で、基板を加熱することができる。例えば、「サセプタ」は、放射熱を吸収し、吸収した熱を基板に伝達するウェハ支持物である。
【0003】
一般的なプロセスでは、反応ガスが加熱されたウェハに送られ、ウェハ上に反応材料の薄い層の化学気相成長(CVD)を行う。連続する処理によって、多数の層が集積回路に作り込まれる。他の例示的なプロセスには、スパッタ堆積、フォトリソグラフィ、ドライエッチング、プラズマ処理、および高温アニールがある。これらのプロセスの多くは、高温を必要とし、同様の反応チャンバで行うことができる。
【0004】
様々なプロセスパラメータを注意深く制御して、堆積膜の高品質を保証しなければならない。1つの重要なパラメータは、処理中のウェハの温度である。例えば、CVD時に、ウェハに薄膜を堆積するようにプロセスガスが最も効率よく反応する特性温度範囲がある。約500℃と900℃の間のシリコンCVDのような質量輸送律速より下の温度で、温度制御は特に重要である(熱力学律速、シランを使用するシリコンCVDでは約500℃から900℃まで)。この熱力学律速で、ウェハ表面全体に温度が一様でなければ、堆積膜の厚さは一様でなくなる。
【0005】
近年、バッチ処理で実現でき得る以上に、プロセス制御において高い精度を必要とするといった様々な理由により、大口径ウェハの単一ウェハ処理がますます広く使用されるようになった。一般的なウェハは、最も一般的には、直径が約150mm(6インチ)か約200mm(8インチ)で厚さが約0.725mmのシリコンで作られている。近年、単一ウェハ処理の利益をさらに効率的に活用するものとして、直径が約300mm(12インチ)で厚さが約0.775mmのより大きなシリコンウェハが導入された。将来は、さらに大きなウェハが見込まれている。
【0006】
本発明者は、基板の滑り、くっつき、およびねじれ等のサセプタに関連した取扱い問題から、歩留りの結果に影響を及ぼす多くの品質制御の問題を見出した。これらの問題は、高温プロセスチャンバにおける基板の配置およびその後の取出しの間に生じる。
【0007】
サセプタ凹部またはポケット中のガスのクッションが、基板が直ぐにサセプタに落下させるように、十分速く漏れない場合の降下中に、滑りが発生する。ガスがゆっくり漏れるので、基板は一瞬の間サセプタの上に浮かび、ウェハは滑って中心から外れる傾向がある。このようにして、基板は意図されたポケットの中心に静止しないことがあり、さらに、結果として基板の不均一な加熱が生じることがある。サセプタポケットの縁部に滑ると、基板がポケットの縁と接触するところで局部的な冷却が起こり、結果として堆積される層の性質に依存して厚さ一様性不良、抵抗率一様性不良および結晶学的なスリップが生じる。ウェハ降下位置が一致しないことによるこれらの不均一性のために、プロセスの最適調整が非常に困難になる。同様に、温度の不均一性は、エッチング、アニール、ドーピング、酸化、窒化、および他の製造プロセスにおける不均一性の原因となり得る。
【0008】
逆に言えば、持上げ時に、ウェハとポケットの表面の間の小さなスペースにガスがゆっくり流れ込むために、基板が下の支持物に貼りつくときに、くっつきが起こる。これによって、基板が持ち上げられるときに基板と支持物の間に真空効果が生じる。くっつきは、潜在的な粒子汚染の原因であり、極端な場合には1から2mm程度の基板ホルダの持上げを生じさせる。
【0009】
ねじれは、基板中の半径方向と軸方向の両方の温度勾配の組合せによって生じた基板の反りである。強いねじれによって、基板がベルヌーイワンドの底の側面に接触するようになることがあり、さらに、同様にして、他のロボット先端効果器との相互作用に影響を与え得る。ベルヌーイワンドの場合には、基板の上部側がベルヌーイワンドを引っ掻いて、粒子汚染を起こし、著しく歩留りを下げることがある。ベルヌーイワンドの設計および機能は、米国特許第5,997,588号に記載されており、ここで参照することで含めるものとする。
【0010】
図1Aおよび1Bは、サセプタ100に支持されたウェハ1を示す。ここで、サセプタ100は格子付き支持面Gを有する。最初に図1Aを参照して、ウェハの周縁2に近いウェハ1の部分を格子Gの上に示す。格子Gの上面は、複数の格子溝によって2次元で互いに分離された複数の突起3で画定されている。これらの突起3は、格子を囲繞する環状肩部4の上面に対してくぼんでいる。200mmのウェハでは、この凹部すなわちポケットの深さは約0.018インチ(0.457mm)であり、一方で、200mmウェハの厚さは約0.285インチである。したがって、ウェハ11の上面は肩部4の上面より僅かに上に出る。このことは、ウェハ上に層状ガスの流れを維持するのに役立つ。格子Gの外周5は、肩部4の内側の縁6から環状の溝7で隔てられている。この環状の溝7は断面がほぼ半円である。環状溝7のサセプタ100中の深さは、格子溝の深さとほぼ同じである。肩部4の内側の縁6の直径は、ウェハをポケットに位置づけする許容値を与えるように、ウェハ1の直径よりも僅かに大きい。同様な格子付きサセプタは、アリゾナ州フェニックスのASM America社から、CVD反応チャンバ用のEpsilon(商標)シリーズとして市販されている。
【0011】
図1Aで、ウェハの全周でウェハの縁2と肩部の縁6の間に等しい間隔を空けた状態で、ウェハ1はポケットの中心に位置している。しかし、図1Bに示すように、最初の配置時において、ウェハ1は滑りやすく、および/または跳ねやすく、そして、外側の縁2が肩部4の内側の縁6と接触するか、または縁6の直ぐ近傍に来ることがよくある。肩部4は、ウェハ1および下の格子Gよりも厚いので、一般に、より温度が低い。その結果、ウェハの縁2は伝導で冷える傾向がある。また、ウェハの縁2は、肩部の縁6に非常に近い場合、たとえ実際に接触していなくても、輻射によって熱を失う。
【0012】
ウェハ縁部での冷却で、ウェハの温度は不均一になる。薄膜堆積速度(および多くの他の製造プロセス)が強く温度に依存するならば、特に熱力学律速のCVDでは、膜厚および抵抗率は、温度不均一の条件下で処理されたウェハにわたって不均一となる。その結果、温度一様性を促進しながら基板の持上げおよび降下を容易にする改良された基板支持物が必要とされる。
【0013】
この要求を満たして、かつ本発明の態様に従って、基板支持物は凹状表面に延びる溝の格子を備え、この凹状表面は、処理するためにシリコンウェハのような一般的に平らな基板を保持することができる。望ましい熱特性を依然として維持しながら、くっつき、滑りおよびねじれを最小限にするように、凹および溝が構成される。この支持物を構成し、その上に基板を支持するための方法も提供する。
【0014】
本発明の他の態様に従って、基板ホルダの環状肩部の内側の縁に沿って半径方向に配列された中心位置決め装置を提供する。この中心位置決め装置は、基板と環状肩部の熱的な直接接触を防げるように、それらの間の距離を設定する。
【0015】
本発明のさらに他の特徴および利点は、本発明を例示であって限定するものではない意図である添付の図面とともに考察されるとき、以下の好ましい実施形態の詳細な説明から明らかになるであろう。
【0016】
(好ましい実施形態の詳細な説明)
上記の背景と概要の部で言及したように、本発明者は、従来の基板ホルダに基板を出し入れで移すことに関して重大な問題を発見した。基板をホルダに降ろす際に、基板の滑りで、再現性よくホルダの中心に正確に基板を置くことが困難になる。ホルダが加熱されているとき、特に、ホルダがコールドウォール反応炉中にある加熱されたサセプタであるとき、サセプタ上に降ろされた基板は、基板内の一時的な温度差のためにねじれる傾向がある。ねじれによって、「跳ね」が起こり、基板は所望の位置から動くようになる。サセプタ上の基板の配置が予期できないために、特に低温プロセスでは、基板を一様に加熱するように温度制御機構を正確に調整することは困難である。
【0017】
さらに、ねじれで、ウェハ取扱い先端効果器の引掻きおよび基板の落下が起こり、粒子汚染につながることがある。ホルダからウェハを取り出す際に、ウェハはホルダにくっつく傾向があり(「スティクション(stiction)」として知られている)、サセプタを持ち上げ、またサセプタを支持構造の上に落とすことがあり、さらなる粒子発生を引き起こす。これらの粒子の問題で、ウェハ全体の汚染、またはウェハのバッチの汚染さえも起こり、著しく歩留りを下げることがある。
【0018】
図2は、石英反応チャンバ12を含んだ例示の化学気相成長(CVD)反応炉10を示す。放射加熱エレメント14が、チャンバ壁であまり吸収されることなくチャンバ12に熱エネルギーを供給するように、石英チャンバ12の外部に支持されている。好ましい実施形態は「コールドウォール」CVD反応炉の背景で説明するが、ここで説明するウェハ支持システムは他の型の反応路でも有用であることは理解されるであろう。特に、当業者は、ここで説明するウェハ支持システムの応用を、基板が支持され同時に基板が一様に加熱または冷却されるような他の半導体処理システムに見いだすことができる。さらに、ここで説明する支持物は、標準的なシリコンウェハの背景で説明するが、CVD、物理蒸着法(PVD)、エッチング、アニール、ドーパント拡散、フォトリソグラフィなどの他のような処理に供される、例えばガラスなどの他の種類の基板を支持するために使用することができる。該支持物は高温で、処理される際の基板の支持に特に有用である。
【0019】
図示の基板は、ウェハ支持構造上に反応炉チャンバ12内で支持された、図2に示す、一般的に円形の縁17を有する半導体ウェハ16で構成される。支持構造は、ウェハ16が載っているウェハホルダまたは支持物200と、好ましくは絶縁材料で作られ、ウェハホルダ200を支持するスパイダ22を含んでいる。スパイダ22は軸24に取り付けられ、この軸は、チャンバの下の壁から垂れ下がる管26を通って下方に延びている。図示の実施形態では、ウェハホルダ200は、加熱要素14からの放射エネルギーを吸収することができるサセプタを備える。サセプタの上面は固体であり、1つの部品で作られるのが好ましい。
【0020】
中心の温度センサまたは熱電対28は、軸24およびスパイダ22を通ってウェハホルダ200の近傍に延びる。また、他の周辺熱電対30が、ウェハホルダ200およびウェハ16を囲むスリップリングまたは温度補償リング32の中に収納されて示されている。熱電対28、30は温度コントローラ(図示しない)に接続され、この温度コントローラは熱電対28、30の測定値に応答して様々な加熱エレメント14の電力を設定する。
【0021】
熱電対30を収納することに加えて、スリップリング32は高温処理中に輻射熱を吸収する。これによって、ウェハ縁部17で熱損失が大きくなる傾向、すなわち、そのような縁近くで特定の体積に対する表面積の集中が大きくなることによって生じることが知られている現象を補償する。スリップリング32は、縁部損失を最小にし、付随するウェハ16の半径方向温度不均一性に注意することで、結晶学的な滑りおよびウェハの温度不均一性に関連した他の問題を防止するのに役立つ。スリップリング32は、任意の適切な手段で吊すことができる。例えば、図示のスリップリング32は、石英チャンバの仕切り36から垂れ下がるエルボー(elbow)34に載っている。
【0022】
図3〜6を参照して、好ましい実施形態のウェハホルダを示す。上で言及したように、図示のウェハホルダは、加熱エレメント14からの放射エネルギーを吸収することができるサセプタ200である。サセプタ200は、好ましくは炭化珪素を被覆されたグラファイトで作られるが、当業者は他の材料も適していることを理解するであろう。図示のサセプタ200は、温度安定性を維持する「熱フライホイール」として作用することができるように、支持すべきウェハよりも相当に大きく重い型のものであり、好ましくはウェハの熱質量の5倍以上、より好ましくは約7倍から9倍である。さらに、サセプタ200は好ましくは「固体」、すなわち貫通する開口を含まない。そのような開口は、熱特性を変えるだけでなく、裏面堆積の危険がある。
【0023】
図3Aは、上から見たような、すなわち、ウェハが支持される窪んだポケット202の中を覗き込んだサセプタ200を示す。窪んだポケット202は、それの凹状の表面に切り込まれ、さらに環状の溝204で囲繞された垂直交差の格子溝の組を有する。この特徴は、以下で図3Dに関してより詳細に説明する。サセプタポケット202の一部だけを横切って示すが、格子がサセプタポケット202全体を横切って環状溝204まで延びることは理解されるであろう。隆起した肩部206が環状溝204に外接する。例えば、200mmウェハを支持するように設計された実施形態では、環状溝の外側の縁までのサセプタ200の直径は、約8.000±0.005インチか、またはサセプタが支持すべきウェハよりも僅かに大きく、サセプタの全直径は約8.850±0.005インチである。ポケットの縁に最も近い円周に沿った格子突起の先端が、ウェハが載る面を画定する。この面は、標準200mmウェハの厚さよりも約0.010インチ薄い環状隆起肩部206の上面よりも約0.010から0.025インチ、より好ましくは約0.015から0.020インチ、最も好ましくは約0.018インチだけ下にある。
【0024】
サセプタの底面図を図3Bに示す。底面210は、幅が約0.250インチで垂直交差部分を有する下面の溝214を含む。この下面の溝214はほぼ完全な同心円を形成し約5.250±0.005インチの外径を有する。下面の溝214は完全な円を形成しないで、図3Bの右側に示す部分216で分断されている。図示の分断部分216は長さが約0.250インチである。下面の溝214にはスパイダ22(図2)の指部が入り、スパイダが所定位置で部分216に組み合うと、分断部分216によって、スパイダ22はサセプタ200から独立して回転することができなくなる。
【0025】
図3Cは、図3Aの線3C‐3Cに沿った周囲近くのサセプタ200の部分の断面図である。上面に、ポケット202を示し、複数の格子突起220が、ページの面に対して垂直な複数の平行な格子溝222で隔てられている。当業者は理解するであろうが、図示の格子溝222に垂直でかつページの面に平行な第2の組の同様な平行格子溝(この図には見られない)がある。このようにして、突起220は、1組の格子溝222が平行な2辺を縁取りし、この図に見えない第2の組の格子溝が他方の平行な2辺を縁取りする小さな正方形の島として理解することができる。環状溝204は、サセプタの肩部206、およびそれらの相対的な位置も示す。下面210に、下面の溝214の長方形断面を示す。
【0026】
図3Dは、図3Cの3Dと表示した円の中に示されるポケット202の表面の詳細である。各格子溝222は平らな格子床すなわち底面224および側壁226を有し、この側壁226は外側に傾斜し、45°の夾角に対する。格子溝222間の突起220は、平らな先端228を有し、この先端228がポケット202の支持面を画定する。図示の実施形態では、先端は正方形で約0.008インチ×0.008インチ(0.20mm×0.20mm)の幅および長さを有し、一方で、格子溝222の平らな底面部224は幅が約0.221インチ(0.56mm)である。
【0027】
突起先端228と格子溝底面224の高さの差は、好ましくは、約0.35mmから0.55mm、より好ましくは約0.40mmから0.45mmである(図示の実施形態では、公称0.43mmすなわち0.017インチ)。格子のピッチ、すなわち同一の隣り合う特徴間の距離は、両方向で、好ましくは約1.0mmから1.5mm、より好ましくは約1.2mmから1.3mmである(図示の実施形態では、公称1.27mmすなわち0.050インチ)。
【0028】
同様の格子付きサセプタは、アリゾナ州フェニックスのASM America社から、CVD反応チャンバ用のEpsilon(商標)シリーズとして市販されている。しかし、このサセプタは格子構成が異なっている。例えば、従来のサセプタの格子のピッチは好ましい実施形態のピッチの約半分であった。さらに、従来のサセプタは、ただ単に凸状の形にならないようにするための格子の周辺部に比べて凹の最小製造公差(例えば、0から0.005インチすなわち0.127mm)を除いて、ほぼ平面であるように設計されていた。図4に関して以下で詳しく述べるように、好ましい実施形態は、ウェハ取扱いを改良するためにかなり大きな凹みまたはボウル形状を特徴とする。
【0029】
図4を参照して、サセプタ200の上部の断面を示す。図は、サセプタの中心線240から環状の肩部206に及ぶ。環状肩部206のほんの一部だけを示す。ポケット202の上面229は、格子突起220の上面228で画定される。上面229は、周囲の格子突起242から中心の格子突起244まで徐々に下方に傾斜する。図示しないが、上面229は、また、中心の格子突起244からポケット202の他方の端にある他の周囲の格子突起220まで上方に傾斜する。したがって、ポケット202は円対称で、全体的に凹状の形を形成する。サセプタポケット表面の凹および格子構造は、説明のために誇張して示した。
【0030】
上面229の凹みは、肩部206の上端に対する深さの差246で画定される。例えば、周囲の(環状溝204に近接した)格子突起242の先端と格子突起244(ポケット202の中心240の)の先端の間の差で画定される。凹み246は、好ましくは約0.130mmから0.500mmである。150mmウェハ用に設計されたサセプタでは、凹み246は、より好ましくは約0.130mmから0.200mmであり、最も好ましくは約0.130mmから0.150mmである(例示の150mmの実施形態では、公称0.1397mm、すなわち0.0055インチ)。200mmウェハ用に設計されたサセプタでは、凹み246は、より好ましくは約0.130mmから0.250mmであり、最も好ましくは約0.170mmから0.220mmである(例示の200mmの実施形態では、公称0.1905mm、すなわち0.0075インチ)。300mmウェハ用に設計されたサセプタでは、凹み246は、より好ましくは約0.300mmから0.500mmであり、最も好ましくは約0.360mmから0.400mmである。基板寸法が増すにつれて、凹は、比例するよりも大きく増加するのが好ましい。
【0031】
図5は、好ましいサセプタ200のポケットの所定位置にあるウェハ16を示す。ポケット表面の湾曲および格子構造は、構成をよりはっきりと図示するために誇張されている。ウェハ16と下のサセプタ200の間に「閉じられた」体積は、図5において参照数字248で示し、以下の議論から理解されるように、ここで「流れ体積」と呼ぶ。密閉流れ体積248は、ウェハ16の下の表面249と格子の上の表面229の間の空間と格子溝222の中の体積の両方(すなわち、下の表面249と格子溝222の底面224の間の体積)を含む。
【0032】
図6Aは、好ましい実施形態のサセプタ200上の所定位置にある基板すなわちウェハ16の透視図である。切り欠き部は、サセプタポケット202の周囲または周囲近くの突起220の上にあるウェハ16の縁部17を示す。格子の尺度は説明のために誇張されている。
【0033】
図6Bは、サセプタポケットの外側の縁の格子突起220と接触するウェハの縁部17を示す。格子は、ウェハの縁部すなわち周囲17の出っ張りに沿って下方に向けて格子に区分されている。この出っ張りは、図示のように、複数の格子溝222と交差する。このようにして、断面には、ウェハの縁12の格子溝222の開口が現れる。ガス(例えば、チャンバ中の空気または不活性ガス)は、ウェハ降下中にこの開口を通って出ていき、また、ウェハ持上げ中にこの開口を通って入っていく。したがって、ウェハ16の下から開く格子溝222の断面積の和を、ここでは、サセプタ200の「逃がし面積」と呼ぶ。全逃がし面積は、ウェハがサセプタ200の上に降ろされるときにガスが漏れることができる、またはウェハ持上げ中にガスがサセプタに引き込まれる、ウェハの周囲の全ての逃がし面積の和である。
【0034】
良好な熱交換特性を維持し、かつプロセスガスがウェハの裏側に達する可能性を最小限にしながら、ウェハの滑り、くっつき、およびねじれを最小限にするように、サセプタの密閉流れ体積および全逃がし面積を最適化する。好ましい実施形態では、直径6インチすなわち150mm、直径8インチすなわち200mm、および直径12インチすなわち300mmのウェハについて、これらのパラメータを別個に調整した。より大きなウェハサイズでは、流れ体積および全逃がし面積は、ウェハ周囲に達するために移動する距離が増し、かつガスの体積が増すため、ウェハ面積に比例してよりも多く増加するのが好ましい。
【0035】
言及したように、全密閉流れ体積は、突起の先端228で画定される基板の下面249とサセプタポケットの上面229の間の体積ならびにグリッド溝222内の体積を含む。150mmウェハを支持するように設計されたサセプタでは、全密閉流れ体積は、好ましくは約7.5×10-63から10.0×10-63であり、より好ましくは約8.0×10-63から9.0×10-63である。直径200mm以上のウェハを支持するように設計されたサセプタでは、全密閉流れ体積は、1.3×10-53から6.0×10-53である。200mmウェハを支持するように設計されたサセプタの全密閉流れ体積は、好ましくは約1.3×10-53から4.0×10-53であり、より好ましくは約1.4×10-53から1.6×10-53である(例示の200mmサセプタでは、1.506×10-53)。300mmウェハを支持するように設計されたサセプタでは、全密閉流れ体積は、好ましくは約3.0×10-53から6.0×10-53であり、より好ましくは約3.5×10-53から4.5×10-53である(すなわち、例示の300mmサセプタでは、4.062×10-53)。
【0036】
6インチすなわち150mmウェハでは、サセプタの逃がし面積は、好ましくは約0.1×10-42から5.0×10-42であり、より好ましくは約2.0×10-42から3.0×10-42である。200mmウェハでは、サセプタの逃がし面積は、好ましくは約2.0×10-42から4.0×10-42であり、より好ましくは約2.5×10-42から3.5×10-42である(例示の200mmサセプタでは、3.096×10-42)。本発明の他の実施形態では、これらのパラメータは、12インチすなわち300mmウェハについて最適化する。逃がし面積は、好ましくは約3.0×10-42から6.0×10-42であり、より好ましくは約4.0×10-42から5.0×10-42である(例示の300mmサセプタでは、4.643×10-42)。
【0037】
好ましい実施形態では、平らなポケット面を有するサセプタをグラファイトから機械加工する。好ましくは約1000℃から1500℃、より好ましくは1250℃から1350℃の高温で、サセプタに炭化珪素を被覆する。サセプタが冷えるとき、グラファイトと炭化珪素の熱膨張係数の不整合のために、サセプタが曲がり、ポケット面の凹みが生じる。この実施形態は、サセプタポケット面に凹を導入する好ましい方法を説明するために示す。上述の好ましい実施形態に従って、当業者は凹面を生成する他の方法を見いだすであろう。
【0038】
より深くかつより広い溝とともに、サセプタ表面に凹みを生じさせることで、全密閉流れ体積が生成される。この流れ体積は、逃がし面積(ウェハ周囲に開いている溝の全断面積)とともに、ウェハにスケートをさせる、すなわちウェハを滑らせるのに十分な圧力の圧縮されたガスのクッションが作られない状態でのウェハの突然の降下に、対応することができる。ひとつに、大きな流れ体積はガスの圧縮を吸収する助けとなり、その結果加圧は過大にならないし、さらに、ひとつに、逃がし面積によって、降下時に一時的にでもウェハを支持すのに十分なクッションが作られないほど急速に、ガスは漏れるようになる。ウェハは、ウェハ移送ロボットがプログラムされた中心位置で支持格子に載っている。
【0039】
さらに、好ましい実施形態のポケット断面を使用すると、ウェハがベルヌーイワンドのようなロボットエンドエフェクタで持ち上げられたときに、ウェハとサセプタの間に重要な吸い込み作用は生じない。持上げにおけるこの改良で、粒子の発生が減少する。
【0040】
有利なことに、サセプタにレッジ(ledge)のような鋭い熱変化を生成することなしに、好ましい流れ体積および逃がし面積を実現することができる。このようにして、格子は、ウェハ面全体の下に延び続け、さらに中央の突起とウェハの間の小さな空間は、ウェハとサセプタの間の熱的な相互作用に悪影響を及ぼさない。実際、サセプタに対してウェハをよりばらつきなく配置することで、膜堆積の一様性および再現性の改良が実現されるので、連続して処理される多数のウェハに等しく適切に温度調整が適用される。対照的に、従来のサセプタ設計では、ウェハが滑ってポケット縁部と接触するとき、局部的な低温箇所が現れる。好ましい実施形態に従った格子付きサセプタで支持されたウェハに対して行った堆積の繰返し試験では、堆積層厚さの優れた再現性および小さな標準偏差が得られた。表Iは、新しいサセプタで実現された堆積一様性を示す。
【0041】
【表1】
Figure 0004247429
【0042】
さらに、中心位置づけ降下についてのより適切な制御で、処理時の破滅的な故障が起こらなくなった。好ましい実施形態を用いて行われた堆積では、滑りは観察されなかった;ウェハは、降下時の中央位置を保ち、堆積膜は制御限界内であった。
【0043】
他方で、凹のないより狭い溝のある格子付きサセプタを使用して行われた実験で、表IIに示すように、許容できない膜が観察された。表IおよびIIのウェハは、プロセス方法は同一ではないが、同じ型のチャンバで、かつ同様な温度範囲で処理されたものである。
【0044】
【表2】
Figure 0004247429
【0045】
ウェハ4、7、8、16および17は、本来、比較的大きな標準偏差を有し、したがって、表Iのウェハに比べて遥かに大きな膜厚ばらつきを有する。このことは、これらのウェハではサセプタ200の肩部206に接触するウェハ滑りが起こったことの表れである。この接触による重要な温度差によって、ウェハ16全体で堆積速度が変化し、したがって、一様でない膜厚となる。
【0046】
さらに、サセプタ上面229の凹み246(図4)によって、ウェハねじれの発生が減少した。図2を参照して、ウェハ16が最初にチャンバ12に導入され、サセプタ200に保持されるとき、ウェハ16は下から不均衡に加熱される。したがって、ウェハ16の下面のより高い温度で、この下面により大きな熱膨張が生じ、したがって、僅かな量の上向きのねじれが生じる。ウェハは、約0.010インチのオーダの凹みを有するボウルまたは凹形状である。この状況における凹みは、ウェハの最も高い点(一般には縁部)からウェハの最も低い点(一般には中心部)までの深さを意味する。「平らな」格子付きサセプタの上に降ろした場合には、ウェハ16の中心が最初にサセプタに接触し、半径方向の温度勾配が発生する。これによって、ねじれ効果は急速に悪化するので、接触するや否や凹は約0.350インチに増えて、多くの場合、先端効果器を引っ込めることができないうちにこれを引っ掻き、時には、ウェハの破壊をもたらすことがある。
【0047】
対照的に、好ましい実施形態のサセプタの凹みによって、ウェハが降下されたときに僅かにねじれたウェハの形によりよく一致したサセプタ、したがってウェハとより連続的に熱的に接触するサセプタが作られる。ウェハねじれは、約0.200インチの凹みに減少し、これはベルヌーイワンドを引っ掻くのには不十分である。ワンド引掻きおよび付随する粒子問題が起きないようにすることで、歩留りは30%から40%向上することが示された。
【0048】
図7Aおよび7Bは、他の実施形態に従って作られたサセプタ200を示し、これによって、中心位置づけが改良され、さらに、ポケット202の縁部の壁に接触することによる熱的な不均一性が回避される。また、図示の実施形態は、格子付きサセプタを含むので、前の実施形態のものと同様な要素は同様な参照番号で参照する。
【0049】
サセプタ200は、ウェハを位置づけすることができる中央の格子付きポケット202、およびポケット202の周囲にある複数の中心位置決め装置250または252を含む。位置決め装置250または252は、格子の高さより上に延び、ウェハが環状肩部206と、上述のようにウェハ縁部の冷却を引き起こし得る接触をしないようにする。ウェハ縁部は位置決め装置250または252と接触することができるので、位置決め装置250または252は、これが与えるかもしれない不都合な熱的影響を最小限にするように設計される。図7Aの中心位置決め装置250は、この位置決め装置の最も小さな側だけで環状肩部206と接触する。図7Bに示すように、中心位置決め装置と環状肩部の間に間隔を空けるのが好ましい配列であるが、図7Aに示すように環状肩部206に取り付けられた中心位置決め装置さえも、環状肩部206自体とのウェハ接触の危険を冒すことに優る改良である。狭い位置決め装置で、冷却効果が大きい環状肩部206の遥かに大きな熱質量からウェハを離す。
【0050】
凹部の周囲に沿った、すなわち図7Aおよび7Bの表面260、262に沿った各位置決め装置の幅は、それぞれ、約1.50mmよりも小さい。位置決め装置の上面は、環状肩部206の上面とほぼ同じ高さである。図7Aで、各位置決め装置のその上面での半径方向の長さは、好ましくは、約1.00から2.00mmである。図7Bで、各位置決め装置の外側の縁258は、環状肩部206の内周から約0.50mmから2.00mm離れている。
【0051】
位置決め装置250および252は、それぞれ、ウェハの直径よりも僅かに大きな直径を有する円に沿って、内側の縁260および262で位置づけされている。図8に示すように、ポケット202の縁のまわりに、好ましくは6から10個、より好ましくは約7から9個、さらに、最も好ましくは約8個の位置決め装置が等間隔で配置されている。
【0052】
ここで説明した基板支持物は、基板処理システムでの基板位置決めの多くの態様で、従来技術に優る改良を行う特徴を含む。特に、基板の下のサセプタポケット中の密閉流れ体積および基板の周囲の逃がし面積は、降下および持上げ中の基板の滑りおよびくっつきの可能性を低減するように設計された。これによって、基板は、処理中にサセプタポケットの中心近くに確実に位置づけされるようになる。他の実施形態では、サセプタポケットの縁のまわりの中心位置決め装置により、基板とサセプタの外側の縁の接触の可能性がさらに減少する。サセプタポケットのこれらの特徴は、基板の縁部と基板ホルダの温度の低い外側の肩部との接触を防ぎ、それによって、温度一様性の実現を助け、プロセスの結果の品質が優れた再現性を有することを保証する。
【0053】
本発明の範囲から逸脱することなしに様々な修正物および変化物を作ることができることを当業者は理解するであろうし、また、全てのそのような修正物および変化物は、添付の特許請求の範囲で定義されるような本発明の範囲内に含まれるものである。
【図面の簡単な説明】
【図1A】 サセプタの中心に位置づけされたウェハを示す略断面図である。
【図1B】 図1Aのサセプタに中心を外れて位置づけされたウェハを示す略断面図である。
【図2】 サセプタ上に支持されたウェハを有する例示の反応チャンバを示す模式化された断面図である。
【図3A】 本発明の好ましい実施形態に従って構成された、ウェハを保持するための格子付きポケットを有するサセプタを示す平面図である。
【図3B】 図3Aのサセプタを示す底面図である。
【図3C】 図3Aの線3C‐3Cに沿った図3Aのサセプタを示す部分断面図である。
【図3D】 図3Cの支持格子の部分を示す拡大断面図である。
【図4】 本発明の好ましい実施形態を図示するためにポケット表面の凹が誇張された、図3Aのサセプタの部分を示す略断面図である。
【図5】 支持されたウェハと図3Aのサセプタの間の閉じられた体積を示す略断面図である。
【図6A】 図3Cのサセプタに支持されたウェハを示す略遠近法および部分切り取り図である。
【図6B】 ウェハの周囲の下の支持格子を示す図6Aの円で囲んだ部分を示す拡大断面図である。断面はウェハの縁の曲線に沿っている。
【図7A】 中心位置決め装置の外側の縁がサセプタの肩部と接触している場合の、本発明の他の実施形態に従って作られたサセプタの部分を示す透視図である。
【図7B】 中心位置決め装置の外側の縁がサセプタの肩部から内側の方に離れて位置づけされている場合の、本発明の実施形態に従って作られたサセプタの部分を示す透視図である。
【図8】 図7Bの複数の中心位置決め装置によってサセプタの中心に位置決めされたウェハを示す略平面図である。

Claims (43)

  1. 複数の突起の先端で画定される凹状の支持面を備える基板ホルダであって、
    前記突起が複数の交差する格子溝で分離され、
    前記支持面が約0.130mmから0.500mmの凹みを有し、
    前記凹みが前記支持面の周縁から前記支持面内の中心点までの深さとして測定されるものである基板ホルダ。
  2. 基板を収容する大きさに作られた凹部を囲む環状肩部をさらに備え、前記凹部が前記凹状支持面を含む、請求項1に記載の基板ホルダ。
  3. 前記凹部および前記凹状支持面が直径200mmの基板を収容する大きさに作られ、さらに前記凹みが約0.130mmから0.250mmである、請求項2に記載の基板ホルダ。
  4. 前記凹部および前記凹状支持面が直径200mmの基板を収容する大きさに作られ、さらに前記凹みが約0.170mmから0.220mmである、請求項3に記載の基板ホルダ。
  5. 前記凹部および前記凹状支持面が直径300mmの基板を収容する大きさに作られ、さらに前記凹みが約0.300mmから0.500mmである、請求項2に記載の基板ホルダ。
  6. 前記凹部および前記凹状支持面が直径300mmの基板を収容する大きさに作られ、さらに前記凹みが約0.360mmから0.400mmである、請求項5に記載の基板ホルダ。
  7. 前記ホルダに支持された平らな直径200mmの基板に関して、前記基板と前記支持面の間の体積と前記支持面の前記格子溝内の体積から成る全密閉流れ体積が、約1.3×10−5から4.0×10−5である、請求項1に記載の基板ホルダ。
  8. 前記全密閉流れ体積が、約1.4×10−5から1.6×10−5である、請求項7に記載の基板ホルダ。
  9. 前記基板の周囲部の下で、かつこの周囲部のまわりの前記格子溝の全断面積として定義される逃がし面積が、約2.0×10−4から4.0×10−4である、請求項7に記載の基板ホルダ。
  10. 前記逃がし面積が、約2.5×10−4から3.5×10−4である、請求項9に記載の基板ホルダ。
  11. 前記ホルダに支持された平らな直径300mmの基板に関して、前記基板と前記支持面の間の体積と前記支持面の前記格子溝内の体積から成る全密閉流れ体積が、約3.0×10−5から6.0×10−5である、請求項1に記載の基板ホルダ。
  12. 前記全密閉流れ体積が、約3.5×10−5から4.5×10−5である、請求項11に記載の基板ホルダ。
  13. 前記基板の周囲部の下で、かつこの周囲部のまわりの前記格子溝の全断面積として定義される逃がし面積が、約3.0×10−4から6.0×10−4である、請求項11に記載の基板ホルダ。
  14. 前記逃がし面積が、約4.0×10−4から5.0×10−4である、請求項13に記載の基板ホルダ。
  15. 前記ホルダに支持された平らな直径150mmの基板に関して、前記基板と前記支持面の間の体積と前記支持面の前記格子溝内の体積から成る全密閉流れ体積が、約7.5×10−6から10.0×10−6である、請求項1に記載の基板ホルダ。
  16. 前記全密閉流れ体積が、約8.0×10−6から9.0×10−6である、請求項15に記載の基板ホルダ。
  17. 前記基板の周囲部の下の前記格子溝の全断面積として定義される逃がし面積が、約0.1×10−4から5.0×10−4である、請求項15に記載の基板ホルダ。
  18. 前記逃がし面積が、約2.0×10−4から3.0×10−4である、請求項17に記載の基板ホルダ。
  19. 前記支持面の外周が、前記環状肩部の上面の下に約0.010から0.025インチの距離だけ間隔を空けている、請求項2に記載の基板ホルダ。
  20. 前記支持面の外周が、前記環状肩部の上面の下に約0.015から0.020インチの距離だけ間隔を空けている、請求項2に記載の基板ホルダ。
  21. 環状溝が前記支持面から前記環状肩部を隔てる、請求項2に記載の基板ホルダ。
  22. 炭化珪素皮膜を有するグラファイトから形成される請求項1に記載の基板ホルダ。
  23. 前記突起先端からの前記溝の深さが、約0.35mmから0.55mmである、請求項1に記載の基板ホルダ。
  24. 前記溝の前記深さが、約0.40mmから0.45mmである、請求項23に記載の基板ホルダ。
  25. 前記突起及び前記格子溝により前記支持面上に形成される格子のピッチが、約1.0mmから1.5mmである、請求項1に記載の基板ホルダ。
  26. 前記ピッチが、約1.2mmから1.3mmである、請求項25に記載の基板ホルダ。
  27. 基板ホルダを支持するスパイダの指部が挿入される少なくとも1以上の溝を底面に有する、請求項1に記載の基板ホルダ。
  28. 前記支持面が前記底面までの貫通孔を含まない、請求項27に記載の基板ホルダ。
  29. 高温反応チャンバ中に直径150mm半導体ウェハを保持するためのくぼんだポケットを有するサセプタであって、前記ポケットが、凹状の格子付き表面を有し、7.5×10−6よりも大きな、前記ウェハと前記格子付き表面の底の間の全密閉流れ体積を含むものであるサセプタ。
  30. 高温反応チャンバ中に200mm以上の直径の半導体ウェハを保持するためのくぼんだポケットを有するサセプタであって、前記ポケットが、凹みおよび格子を有する表面を有し、約1.3×10−5から6.0×10−5の、前記ウェハと前記格子の底面の間の全密閉流れ体積を含むものであるサセプタ。
  31. 前記ウェハの直径が200mmであり、前記全密閉流れ体積が約1.3×10−5から4.0×10−5である、請求項30に記載のサセプタ。
  32. 前記ウェハの直径が200mmであり、前記表面の凹みが約0.130mmから0.250mmである、請求項30に記載のサセプタ。
  33. 前記ウェハの直径が300mmであり、前記全密閉流れ体積が約3.0×10−5から6.0×10−5である、請求項30に記載のサセプタ。
  34. 前記ウェハの直径が300mmであり、前記表面の凹みが約0.300mmから0.500mmである、請求項30に記載のサセプタ。
  35. 降下時に基板が滑らないようにする基板ホルダの製造方法であって、
    放射エネルギーを吸収することができる材料でくぼんだポケットのまわりに環状肩部を形成するステップと、
    前記ポケットの周縁から前記ポケット内の中心点までの深さとして測定した結果、約0.130mmから0.500mmの凹みを有する凹状支持面として前記ポケットの上面を形成するステップと、
    交差する格子溝を前記凹状支持面に掘るステップと、を備える基板ホルダの製造方法。
  36. 前記くぼんだポケットおよび前記凹状支持面が、直径200mmの基板を収容する大きさに作られ、前記凹みが、約0.130mmから0.250mmである、請求項35に記載の基板ホルダの製造方法。
  37. 前記凹みが、約0.170mmから0.220mmである、請求項36に記載の基板ホルダの製造方法。
  38. 前記くぼんだポケットおよび前記凹状支持面が、直径300mmの基板を収容する大きさに作られ、前記凹みが、約0.300mmから0.500mmである、請求項35に記載の基板ホルダの製造方法。
  39. 前記凹みが、約0.360mmから0.400mmである、請求項38に記載の基板ホルダの製造方法。
  40. 前記格子溝を掘ることが、約0.35mmから0.55mmの深さまで機械加工することを含み、前記格子溝によって前記凹状支持面に形成される格子のピッチが、約1.0mmから1.5mmである、請求項35に記載の基板ホルダの製造方法。
  41. 前記くぼんだポケットおよび支持面が、直径300mmの基板を収容する大きさに作られ、前記基板と前記凹状支持面の間の体積と前記凹状支持面の前記格子溝内の体積とから成る全密閉流れ体積が、約3.0×10−5から6.0×10−5である、請求項35に記載の基板ホルダの製造方法。
  42. 前記くぼんだポケットおよび支持面が、直径200mmの基板を収容する大きさに作られ、前記基板と前記凹状支持面の間の体積と前記凹状支持面の前記格子溝内の体積とから成る全密閉流れ体積が、約1.3×10−5から4.0×10−5である、請求項35に記載の基板ホルダの製造方法。
  43. 前記くぼんだポケットおよび支持面が、直径150mmの基板を収容する大きさに作られ、前記基板と前記凹状支持面の間の体積と前記凹状支持面の前記格子溝内の体積とから成る全密閉流れ体積が、約7.5×10−6から10.0×10−6である、請求項35に記載の基板ホルダの製造方法。
JP2002565342A 2000-12-22 2001-12-11 基板ホルダ、サセプタ、基板ホルダの製造方法 Expired - Lifetime JP4247429B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/747,173 US6634882B2 (en) 2000-12-22 2000-12-22 Susceptor pocket profile to improve process performance
PCT/US2001/048196 WO2002065510A1 (en) 2000-12-22 2001-12-11 Susceptor pocket profile to improve process performance

Publications (2)

Publication Number Publication Date
JP2004519104A JP2004519104A (ja) 2004-06-24
JP4247429B2 true JP4247429B2 (ja) 2009-04-02

Family

ID=25003972

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002565342A Expired - Lifetime JP4247429B2 (ja) 2000-12-22 2001-12-11 基板ホルダ、サセプタ、基板ホルダの製造方法

Country Status (6)

Country Link
US (3) US6634882B2 (ja)
EP (1) EP1348230B1 (ja)
JP (1) JP4247429B2 (ja)
KR (2) KR100893909B1 (ja)
DE (1) DE60124952T2 (ja)
WO (1) WO2002065510A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101800197B1 (ko) * 2016-05-18 2017-11-22 (주)에스엔텍 증착 챔버 외부로 배출될 수 있는 이너 쉴드와 무게추를 갖는 증착 챔버 장치

Families Citing this family (278)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001338878A (ja) * 2000-03-21 2001-12-07 Sharp Corp サセプタおよび表面処理方法
WO2002095795A2 (de) * 2001-05-18 2002-11-28 Mattson Thermal Products Gmbh Vorrichtung zur aufnahme von scheibenförmigen objekten
DE10156441A1 (de) * 2001-05-18 2002-11-21 Mattson Thermal Products Gmbh Vorrichtung zur Aufnahme von scheibenförmigen Objekten und Vorrichtung zur Handhabung von Objekten
WO2002097872A1 (fr) * 2001-05-31 2002-12-05 Shin-Etsu Handotai Co., Ltd. Procede de production d'une tranche de semi-conducteur et suscepteur utilise a cet effet
US6861321B2 (en) * 2002-04-05 2005-03-01 Asm America, Inc. Method of loading a wafer onto a wafer holder to reduce thermal shock
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
US7022192B2 (en) * 2002-09-04 2006-04-04 Tokyo Electron Limited Semiconductor wafer susceptor
DE10393962B4 (de) 2002-12-20 2019-03-14 Mattson Technology Inc. Verfahren und Vorrichtung zum Stützen eines Werkstücks und zur Wärmebehandlung des Werkstücks
US7021635B2 (en) * 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
JP2004247387A (ja) * 2003-02-12 2004-09-02 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびそれを搭載した半導体製造装置
WO2004090967A1 (ja) * 2003-04-02 2004-10-21 Sumco Corporation 半導体ウェーハ用熱処理治具
JP4019998B2 (ja) * 2003-04-14 2007-12-12 信越半導体株式会社 サセプタ及び気相成長装置
KR100527672B1 (ko) * 2003-07-25 2005-11-28 삼성전자주식회사 서셉터 및 이를 포함하는 증착 장치
JP4669476B2 (ja) * 2003-08-01 2011-04-13 エスゲーエル カーボン ソシエタス ヨーロピア 半導体製造時にウェハを支持するホルダ
US20050092439A1 (en) * 2003-10-29 2005-05-05 Keeton Tony J. Low/high temperature substrate holder to reduce edge rolloff and backside damage
DE20318462U1 (de) * 2003-11-26 2004-03-11 Infineon Technologies Ag Anordnung elektronischer Halbleiterbauelemente auf einem Trägersystem zur Behandlung der Halbleiterbauelemente mit einem flüssigen Medium
US20050176252A1 (en) * 2004-02-10 2005-08-11 Goodman Matthew G. Two-stage load for processing both sides of a wafer
WO2005081283A2 (en) * 2004-02-13 2005-09-01 Asm America, Inc. Substrate support system for reduced autodoping and backside deposition
US20050284371A1 (en) * 2004-06-29 2005-12-29 Mcfadden Robert S Deposition apparatus for providing uniform low-k dielectric
US20090098290A1 (en) * 2004-09-27 2009-04-16 Mikio Watanabe Process for formation of copper-containing films
KR100875464B1 (ko) * 2004-09-30 2008-12-22 가부시키가이샤 히다치 고쿠사이 덴키 열처리 장치 및 기판의 제조방법
JP4934595B2 (ja) 2005-01-18 2012-05-16 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
JP4871264B2 (ja) * 2005-03-17 2012-02-08 浜松ホトニクス株式会社 顕微鏡画像撮像装置
JP2006303152A (ja) * 2005-04-20 2006-11-02 Fuji Electric Holdings Co Ltd エピタキシャル成膜装置およびエピタキシャル成膜方法
JP4666473B2 (ja) * 2005-05-12 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
JP4377396B2 (ja) * 2005-07-29 2009-12-02 株式会社ニューフレアテクノロジー 気相成長装置
KR101165466B1 (ko) * 2005-08-31 2012-07-13 엘지디스플레이 주식회사 캐리어 및 이를 구비한 공정 장치
JP4666496B2 (ja) * 2005-12-07 2011-04-06 大日本スクリーン製造株式会社 基板熱処理装置
JP2007201417A (ja) * 2005-12-28 2007-08-09 Tokyo Electron Ltd 熱処理用ボート及び縦型熱処理装置
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
KR100804170B1 (ko) * 2006-06-13 2008-02-18 주식회사 아이피에스 웨이퍼블럭
TW200818327A (en) * 2006-09-29 2008-04-16 Sumco Techxiv Corp Silicon wafer heat treatment method
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
US7661544B2 (en) * 2007-02-01 2010-02-16 Tokyo Electron Limited Semiconductor wafer boat for batch processing
US20080289766A1 (en) * 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
JP5537766B2 (ja) * 2007-07-04 2014-07-02 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
WO2009072252A1 (ja) * 2007-12-06 2009-06-11 Shin-Etsu Handotai Co., Ltd. 気相成長用サセプタおよび気相成長装置
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
JP5745394B2 (ja) * 2008-03-20 2015-07-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板支持体、プラズマ反応装置、および、サセプターを形成する方法
JP5558673B2 (ja) * 2008-03-25 2014-07-23 大日本スクリーン製造株式会社 熱処理装置
WO2009137940A1 (en) * 2008-05-16 2009-11-19 Mattson Technology Canada, Inc. Workpiece breakage prevention method and apparatus
CN102084460A (zh) * 2008-05-30 2011-06-01 奥塔装置公司 用于化学气相沉积反应器的方法和设备
EP2562291A1 (en) * 2008-08-29 2013-02-27 Veeco Instruments Inc. Wafer carrier with varying thermal resistance
DE102009010555A1 (de) 2009-02-25 2010-09-02 Siltronic Ag Verfahren zum Erkennen einer Fehllage einer Halbleiterscheibe während einer thermischen Behandlung
CN102498557A (zh) * 2009-08-05 2012-06-13 应用材料公司 化学气相沉积设备
KR100965143B1 (ko) * 2009-10-27 2010-06-25 (주)앤피에스 서셉터 유닛 및 이를 구비하는 기판 처리 장치
KR101125739B1 (ko) * 2010-02-03 2012-03-27 주식회사 엘지실트론 반도체 제조용 서셉터
WO2011139640A2 (en) * 2010-05-06 2011-11-10 Applied Materials, Inc. Improved radiation heating efficiency by increasing absorption of a silicon containing material
WO2012002499A1 (ja) * 2010-06-30 2012-01-05 株式会社アルバック 基板処理装置及び基板冷却方法
US9570328B2 (en) 2010-06-30 2017-02-14 Applied Materials, Inc. Substrate support for use with multi-zonal heating sources
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
JP5869899B2 (ja) 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US11085112B2 (en) 2011-10-28 2021-08-10 Asm Ip Holding B.V. Susceptor with ring to limit backside deposition
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
US9376752B2 (en) 2012-04-06 2016-06-28 Applied Materials, Inc. Edge ring for a deposition chamber
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
DE102012108986A1 (de) * 2012-09-24 2014-03-27 Aixtron Se Substrathalter einer CVD-Vorrichtung
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012022067A1 (de) 2012-11-09 2014-05-15 Centrotherm Photovoltaics Ag Substrathalter sowie eine vorrichtung und ein verfahren zum behandeln von substraten
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10068791B2 (en) * 2013-03-08 2018-09-04 Semiconductor Components Industries, Llc Wafer susceptor for forming a semiconductor device and method therefor
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN105493260B (zh) * 2013-08-29 2018-07-13 株式会社普利司通 承载器
US9716010B2 (en) 2013-11-12 2017-07-25 Globalfoundries Inc. Handle wafer
JP6559706B2 (ja) 2014-01-27 2019-08-14 ビーコ インストルメンツ インコーポレイテッド 化学蒸着システム用の複合半径を有する保持ポケットを有するウェハキャリア
DE102014106728A1 (de) * 2014-05-13 2015-11-19 Aixtron Se Vorrichtung zum Ausrichten eines Wafers auf einem Waferträger
KR20230116078A (ko) 2014-05-21 2023-08-03 어플라이드 머티어리얼스, 인코포레이티드 열 처리 서셉터
US10832931B2 (en) * 2014-05-30 2020-11-10 Applied Materials, Inc. Electrostatic chuck with embossed top plate and cooling channels
CN104064458A (zh) * 2014-07-08 2014-09-24 上海先进半导体制造股份有限公司 Pecvd薄膜淀积设备及其热盘
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
DE102015118215A1 (de) 2014-11-28 2016-06-02 Aixtron Se Substrathaltevorrichtung mit vereinzelten Tragvorsprüngen zur Auflage des Substrates
JP6394400B2 (ja) * 2015-01-13 2018-09-26 株式会社デンソー 表面処理装置およびウエハの表面処理方法
KR20170102020A (ko) * 2015-01-23 2017-09-06 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 내의 퇴적 계곡들을 제거하기 위한 신규한 서셉터 설계
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN105039933A (zh) * 2015-06-10 2015-11-11 上海新傲科技股份有限公司 用于外延生长的托盘
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10186448B2 (en) * 2015-12-11 2019-01-22 Lam Research Corporation Wafer support pedestal with wafer anti-slip and anti-rotation features
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6572800B2 (ja) * 2016-02-26 2019-09-11 株式会社村田製作所 真空装置
DE102016103530A1 (de) * 2016-02-29 2017-08-31 Aixtron Se Substrathaltevorrichtung mit aus einer Ringnut entspringenden Tragvorsprüngen
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102154482B1 (ko) * 2016-12-08 2020-09-10 주식회사 테스 유기금속화학기상증착장치
WO2018106039A1 (ko) * 2016-12-08 2018-06-14 주식회사 테스 유기금속화학기상증착장치
JP2018095916A (ja) * 2016-12-13 2018-06-21 株式会社日立国際電気 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180337075A1 (en) * 2017-05-18 2018-11-22 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
USD859484S1 (en) * 2017-06-12 2019-09-10 Asm Ip Holding B.V. Heater block
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6878212B2 (ja) * 2017-09-07 2021-05-26 昭和電工株式会社 サセプタ、cvd装置及びエピタキシャルウェハの製造方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11515191B2 (en) * 2018-10-26 2022-11-29 Applied Materials, Inc. Graded dimple height pattern on heater for lower backside damage and low chucking voltage
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018131987A1 (de) 2018-12-12 2020-06-18 Aixtron Se Substrathalter zur Verwendung in einem CVD-Reaktor
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
USD914620S1 (en) 2019-01-17 2021-03-30 Asm Ip Holding B.V. Vented susceptor
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111446185A (zh) 2019-01-17 2020-07-24 Asm Ip 控股有限公司 通风基座
USD920936S1 (en) 2019-01-17 2021-06-01 Asm Ip Holding B.V. Higher temperature vented susceptor
USD927575S1 (en) 2019-01-18 2021-08-10 Shinkawa Ltd. Heater block for bonding apparatus
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202129832A (zh) 2020-01-21 2021-08-01 荷蘭商Asm Ip 控股公司 用於均勻沉積之具有側壁隆起的基座及處理結晶基材之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202143380A (zh) * 2020-03-21 2021-11-16 美商應用材料股份有限公司 用於快速氣體交換的基座幾何形狀
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JPH0590238A (ja) * 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) * 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5322078A (en) * 1993-02-18 1994-06-21 Kleer-Flo Company Aqueous parts washing apparatus
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5529657A (en) 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5853214A (en) * 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
JPH10284360A (ja) * 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
KR19990031210U (ko) * 1997-12-30 1999-07-26 김영환 웨이퍼 척 장치
US6264467B1 (en) * 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101800197B1 (ko) * 2016-05-18 2017-11-22 (주)에스엔텍 증착 챔버 외부로 배출될 수 있는 이너 쉴드와 무게추를 갖는 증착 챔버 장치

Also Published As

Publication number Publication date
EP1348230B1 (en) 2006-11-29
US6840767B2 (en) 2005-01-11
DE60124952T2 (de) 2007-09-20
WO2002065510A1 (en) 2002-08-22
EP1348230A1 (en) 2003-10-01
KR20030063448A (ko) 2003-07-28
US6729875B2 (en) 2004-05-04
US20040137398A1 (en) 2004-07-15
US6634882B2 (en) 2003-10-21
JP2004519104A (ja) 2004-06-24
DE60124952D1 (de) 2007-01-11
US20030198910A1 (en) 2003-10-23
US20030049580A1 (en) 2003-03-13
KR100893909B1 (ko) 2009-04-21
KR20080069694A (ko) 2008-07-28

Similar Documents

Publication Publication Date Title
JP4247429B2 (ja) 基板ホルダ、サセプタ、基板ホルダの製造方法
US6709267B1 (en) Substrate holder with deep annular groove to prevent edge heat loss
US7070660B2 (en) Wafer holder with stiffening rib
US7601224B2 (en) Method of supporting a substrate in a gas cushion susceptor system
US20050092439A1 (en) Low/high temperature substrate holder to reduce edge rolloff and backside damage
EP1654752B1 (en) Holder for supporting wafers during semiconductor manufacture
US6776849B2 (en) Wafer holder with peripheral lift ring
US20030209326A1 (en) Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
KR100883285B1 (ko) 열 분산 플레이트 및 에지 지지대를 구비하는 어셈블리
US20030029571A1 (en) Self-centering wafer support system
JPH0758041A (ja) サセプタ
US6861321B2 (en) Method of loading a wafer onto a wafer holder to reduce thermal shock
US6799940B2 (en) Removable semiconductor wafer susceptor
JP2004514287A (ja) 熱処理システムを抵抗により加熱する装置および方法
JP2004200436A (ja) サセプタ及びその製造方法
TWI757671B (zh) 用於改進的熱傳遞和溫度均勻性的加熱的基座設計
US20220208597A1 (en) Semiconductor heat treatment member and manufacturing method thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070718

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080515

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081222

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120123

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4247429

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130123

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130123

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term