KR20120050471A - 화학기상증착 장치 - Google Patents

화학기상증착 장치 Download PDF

Info

Publication number
KR20120050471A
KR20120050471A KR1020127005814A KR20127005814A KR20120050471A KR 20120050471 A KR20120050471 A KR 20120050471A KR 1020127005814 A KR1020127005814 A KR 1020127005814A KR 20127005814 A KR20127005814 A KR 20127005814A KR 20120050471 A KR20120050471 A KR 20120050471A
Authority
KR
South Korea
Prior art keywords
inches
diameter
slots
arrow
indicated
Prior art date
Application number
KR1020127005814A
Other languages
English (en)
Inventor
브라이언 에이치. 버로우스
로날드 스티븐스
제이콥 그레이슨
조슈아 제이. 포데스타
산딥 니자완
로리 디. 워싱턴
알렉산더 탐
수메드 아차리아
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120050471A publication Critical patent/KR20120050471A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Abstract

본원 발명은 개략적으로 기판 상에서의 화학기상증착(CVD)을 위한 장치 및 방법에 관한 것이고, 특히, 금속 유기 화학기상증착을 위한 프로세스 챔버 및 성분들에 관한 것이다. 장치는 프로세싱 부피를 형성하는 챔버 본체를 포함한다. 제 1 평면 내의 샤워헤드는 프로세스 부피의 상단부 부분을 형성한다. 캐리어 플레이트가 제 2 평면 내에서 프로세스 부피를 가로질러 연장하여 샤워헤드와 서셉터 플레이트 사이에 상부 프로세스 부피를 형성한다. 제 3 평면 내의 투명한 물질이 프로세스 부피의 하부 부분을 형성하여, 캐리어 플레이트와 투명한 물질 사이에 하부 프로세스 부피를 형성한다. 복수의 램프가 투명한 물질의 아래쪽에 위치된 하나 또는 둘 이상의 구역을 형성한다. 그러한 장치는, 대형 기판에 걸쳐 균일한 온도를 유지하면서, 균일한 전구체 유동 및 혼합을 제공하여, 그에 대응하는 처리량 증대를 제공한다.

Description

화학기상증착 장치{CVD APPARATUS}
본원 발명의 실시예는 개략적으로 기판 상에서의 화학기상증착(CVD)을 위한 장치 및 방법에 관한 것이고, 특히, 화학기상증착에서 이용하기 위한 프로세스 챔버에 관한 것이다.
Ⅲ-Ⅴ 족 필름은 여러 반도체 소자, 예를 들어 단파장 발광 다이오드(LEDs), 레이저 다이오드(LDs), 그리고 고전력, 고주파, 고온 트랜지스터 및 집적 회로를 포함하는 전자 소자들의 개발 및 제조에 있어서 보다 큰 중요성을 가진다. 예를 들어, 단파장(예를 들어, 청색/녹색 내지 자외선) LEDs가 Ⅲ 족-질화물 반도체 물질 갈륨 질화물(GaN)을 이용하여 제조된다. GaN을 이용하여 제조된 단파장 LEDs 는 Ⅱ-Ⅵ 족 원소를 포함하는 비-질화물 반도체 물질을 이용하여 제조된 단파장 LEDs 보다 상당히 큰 효율 및 보다 긴 작동 수명을 제공할 수 있다는 것이 관찰되었다.
GaN과 같은 Ⅲ-족 질화물을 증착하기 위해서 이용되고 있는 하나의 방법은 금속 유기 화학기상증착(MOCVD)이다. 이러한 화학기상증착 방법은 갈륨(Ga)과 같은 Ⅲ 족으로부터의 하나 이상의 원소를 포함하는 제 1 전구체 가스의 안정성을 보장하기 위해서 온도가 제어되는 환경을 가지는 반응기 내에서 일반적으로 실시된다. 암모니아(NH3)와 같은 제 2 전구체 가스는 Ⅲ 족-질화물을 형성하는데 필요한 질소를 제공한다. 2개의 전구체 가스가 반응기 내의 프로세싱 구역 내로 주입되고(inject), 그러한 반응기 내에서 전구체 가스들이 혼합되고 그리고 프로세싱 구역 내의 가열된 기판을 향해서 이동된다. 캐리어 가스를 이용하여 기판을 향한 전구체 가스의 이송을 도울 수 있을 것이다. 전구체들이 가열된 기판의 표면에서 반응하여 GaN 과 같은 Ⅲ 족-질화물 층을 기판 표면 상에 형성한다. 필름 증착물의 품질은 부분적으로 증착 균일도에 의존하고, 그러한 증착 균일도는 다시 기판에 걸친 전구체들의 균일한 유동 및 혼합에 의존한다.
LEDs, LDs, 트랜지스터, 및 집적 회로에 대한 수요가 커짐에 따라서, 고품질 Ⅲ 족 질화물 필름 증착의 효율성이 보다 중요해졌다. 그에 따라, 보다 큰 기판 및 보다 큰 증착 영역에 걸쳐서 균일한 전구체 혼합 및 일정한 필름 품질을 제공할 수 있는 개선된 증착 장치 및 프로세스가 요구되고 있다.
본원 발명은 개략적으로 기판 상에서의 화학기상증착(CVD)을 위한 장치 및 방법에 관한 것이고, 특히, 화학기상증착에서 이용하기 위한 프로세스 챔버 및 성분들에 관한 것이다.
일 실시예에서, 반사부가 개시된다. 반사부는 플랜지 부분을 가지는 반사부 본체, 금으로 코팅된 표면 및 반사부 본체를 통한 개구부를 포함한다. 반사부 본체를 통한 개구부는 제 1 단부에서 약 6 인치 내지 약 7 인치의 직경을 가지고 그리고 다른 단부에서 약 9 인치 내지 10 인치의 직경을 가진다.
다른 실시예에서, 반사부가 개시된다. 반사부는 플랜지 부분을 가지는 반사부 본체 및 반사부 본체를 통한 개구부를 포함한다. 반사부 본체를 통한 개구부는 제 1 단부에서 약 10 인치 내지 약 11 인치의 직경을 가지고 그리고 다른 단부에서 약 12 인치 내지 13 인치의 직경을 가진다.
다른 실시예에서, 기판 캐리어가 개시된다. 기판 캐리어는 내부에 28개의 슬롯이 형성된 기판 캐리어 본체를 포함한다. 슬롯들의 중심이 기판 캐리어 본체의 중심으로부터 3개의 독립적인 방사상 거리를 따라 센터링되도록(centered), 슬롯들이 배치된다. 3개의 슬롯은 제 1 직경을 따라서 배치되고, 9개의 슬롯은 제 1 직경 보다 큰 제 2 직경을 따라서 배치되며, 그리고 16개의 슬롯은 제 2 직경 보다 큰 제 3 직경을 따라서 배치된다.
다른 실시예에서, 챔버 라이너가 개시된다. 챔버 라이너는 관통 개구부를 구비하는 원형 본체를 포함한다. 개구부는 본체의 일 단부에서 약 14 인치 내지 약 15 인치의 직경을 가지고 그리고 톱니형(jagged) 엣지에 의해서 경계지어지는 본체의 다른 단부에서 비-원형 개구부를 가진다.
다른 실시예에서, 커버 링이 개시된다. 커버 링은 약 13 인치 내지 약 14 인치의 직경을 가지는 관통 개구부를 구비하는 원형 커버 링 본체를 포함한다. 커버 링 본체는 높이가 약 0.05 인치 내지 약 0.07 인치인 내측 플랜지, 높이가 약 0.2 인치 내지 약 0.3 인치인 중간 플랜지, 및 높이가 약 0.1 인치 내지 약 0.2 인치인 외측 플랜지를 구비한다.
다른 실시예에서, 엣지 링이 개시된다. 엣지 링은 약 380 mm 내지 약 390 mm의 직경을 가지는 관통 개구부 그리고 약 180 mm 내지 약 185 mm의 직경을 가지는 제 1 립(lip)을 구비하는 엣지 링 본체를 포함한다.
다른 실시예에서, 상단부(top) 링이 개시된다. 상단부 링은 직경이 약 400 mm 내지 약 425 mm인 개구부 및 높이가 약 5 mm 내지 약 6 mm인 엣지 플랜지를 가지는 상단부 링 본체를 포함한다.
다른 실시예에서, 배출 링이 개시된다. 배출 링은 걸리(gully; 협곡)에 의해서 분리되는 복수의 치형부가 연장하는 배출 링 본체를 포함하고, 상기 걸리는 약 0.3 인치 내지 약 0.4 인치의 폭과 약 0.05 인치 내지 약 0.2 인치의 깊이를 가진다.
본원 발명의 전술한 특징들을 상세하게 이해할 수 있는 방식으로, 앞서서 간략히 요약한 본원 발명의 보다 특별한 설명은 첨부 도면들에 일부가 도시된 실시예들을 참조한다. 그러나, 첨부 도면들은 본원 발명의 통상적인 실시예를 도시할 뿐만 아니라, 본원 발명의 범위를 한정하는 것으로 간주되지 않아야 할 것이며, 본원 발명은 다른 균등한 유효 실시예들도 포함할 수 있다는 것에 주목하여야 할 것이다.
도 1은 본원 발명의 일 실시예에 따른 증착 챔버의 단면도이다.
도 2는 도 1의 증착 챔버의 부분 단면도이다.
도 3은 본원 발명의 일 실시예에 따른 캐리어 플레이트의 사시도이다.
도 4a는 본원 발명의 일 실시예에 따른 서셉터 플레이트의 상단부 표면을 도시한 사시도이다.
도 4b는 본원 발명의 일 실시예에 따른 서셉터 플레이트의 하부 표면을 도시한 사시도이다.
도 5a는 본원 발명의 일 실시예에 따른 서셉터 지지 샤프트의 사시도이다.
도 5b는 본원 발명의 다른 실시예에 따른 서셉터 지지 샤프트의 사시도이다.
도 5c는 본원 발명의 다른 실시예에 따른 서셉터 지지 샤프트의 사시도이다.
도 6은 본원 발명의 일 실시예에 따른 캐리어 승강 샤프트를 도시한 사시도이다.
도 7은 본원 발명의 일 실시예에 따른 배출 프로세스 키트를 개략적으로 도시한 도면이다.
도 8a는 본원 발명의 일 실시예에 따른 상부 라이너를 도시한 사시도이다.
도 8b는 본원 발명의 일 실시예에 따른 하부 라이너를 도시한 사시도이다.
도 9a-9d는 일 실시예에 따른 반사부(900)를 개략적으로 도시한 도면이다.
도 10a-10c는 다른 실시예에 따른 반사부(1000)를 개략적으로 도시한 도면이다.
도 11a-11f는 일 실시예에 따른 캐리어(1100)를 도시한 개략도이다.
도 12a-12e는 일 실시예에 따른 커버 링(1200)을 도시한 개략도이다.
도 13a-13f는 다른 실시예에 따른 커버 링(1300)을 도시한 개략도이다.
도 14a-14d는 일 실시예에 따른 상단부 링(1400)을 도시한 개략도이다.
도 15a-15h는 일 실시예에 따른 배출 링(1500)의 개략도이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 구성요소들을 나타내기 위해서 동일한 도면부호를 사용하였다. 특별한 언급이 없더라도, 일 실시예에서 개시된 구성요소가 다른 실시예에서 유리하게 이용될 수 있다는 것을 이해할 수 있을 것이다.
일반적으로, 본원 발명의 실시예는 MOCVD를 이용하여 Ⅲ 족-질화물 필름을 증착하기 위해서 이용될 수 있는 방법 및 장치를 제공한다. MOCVD를 참조하여 설명하지만, 본원 발명의 실시예들은 MOCVD로 제한되지 않는다. 도 1은 본원 발명의 일 실시예에 따라서 본원 발명을 실시하기 위해서 이용될 수 있는 증착 장치의 단면도이다. 도 2는 도 1의 증착 챔버의 부분 단면도이다. 본원에서 설명되는 발명들을 실시하기 위해서 구성될 수 있는 MOCVD 시스템은 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc.로부터 구입할 수 있을 것이다. 본원에서 개시되는 발명들은 다른 제조업자들로부터 입수한 챔버들에서도 실시될 수 있다는 것을 이해할 수 있을 것이다.
도 1 및 도 2를 참조하면, 장치(100)는 챔버(102), 가스 전달 시스템(125), 원격 플라즈마 공급원(126), 및 진공 시스템(112)을 포함한다. 챔버(102)는 프로세싱 부피(108)를 둘러싸는 챔버 본체(103)를 포함한다. 챔버 본체(103)는 스테인리스 스틸 또는 알루미늄과 같은 물질을 포함할 수 있다. 샤워헤드 조립체(104) 또는 가스 분배 플레이트가 프로세싱 부피(108)의 일 단부에 배치되고, 그리고 캐리어 플레이트(114)가 프로세싱 부피(108)의 타 단부에 배치된다. 기판(140)의 복사 가열을 위해서 빛이 통과할 수 있게 허용하도록 구성된 투명 물질(119)이 하부 부피(110)의 일 단부에 배치되고 그리고 캐리어 플레이트(114)가 하부 부피(110)의 타 단부에 배치된다. 투명 물질(119)이 돔 형상일 수 있다. 캐리어 플레이트(114)가 프로세스 위치에서 도시되어 있지만, 예를 들어, 기판(140)이 로딩 또는 언로딩될 수 있는 그 보다 낮은 위치로 이동될 수 있을 것이다.
도 3은 본원 발명의 일 실시예에 따른 캐리어 플레이트를 도시한 사시도이다. 일 실시예에서, 캐리어 플레이트(114)가 하나 또는 둘 이상의 리세스(116)를 포함할 수 있고, 프로세싱 동안에 하나 또는 둘 이상의 기판(140)이 상기 리세스 내에 배치될 수 있을 것이다. 일 실시예에서, 캐리어 플레이트(114)는 6개 또는 그 초과의 기판(140)을 이송(carry)하도록 구성된다. 다른 실시예에서, 캐리어 플레이트(114)는 8개의 기판(140)을 이송하도록 구성된다. 다른 실시예에서, 캐리어 플레이트(114)는 18개의 기판을 이송하도록 구성된다. 또 다른 실시예에서, 캐리어 플레이트(114)는 22개의 기판을 이송하도록 구성된다. 그보다 많거나 적은 기판(140)이 캐리어 플레이트(114) 상에서 이송될 수 있다는 것을 이해할 수 있을 것이다. 통상적인 기판(140)은 사파이어, 실리콘 탄화물(SiC), 실리콘 또는 갈륨 질화물(GaN)을 포함할 수 있을 것이다. 다른 타입의 기판(140), 예를 들어 유리 기판(140)이 프로세싱될 수 있다는 것을 이해할 수 있을 것이다. 기판(140)은 직경이 50 mm - 100 mm인 크기 또는 그보다 큰 크기를 가질 수 있을 것이다. 캐리어 플레이트(114)는 200 mm - 750 mm의 크기를 가질 수 있을 것이다. 캐리어 플레이트(114)는, SiC 또는 SiC-코팅형 그라파이트를 포함하는 여러 가지 물질로부터 형성될 수 있을 것이다. 다른 크기의 기판(140)이 챔버(102) 내에서 그리고 본원에 기재된 프로세스에 따라서 프로세싱될 수 있다는 것을 이해할 수 있을 것이다.
캐리어 플레이트(114)는 프로세싱 동안에 축선을 중심으로 회전될 수 있을 것이다. 일 실시예에서, 캐리어 플레이트(114)는 약 2 RPM 내지 약 100 RPM으로 회전될 수 있을 것이다. 다른 실시예에서, 캐리어 플레이트(114)가 약 30 RPM으로 회전될 수 있을 것이다. 캐리어 플레이트(114)를 회전시키는 것은 기판(140)의 균일한 가열 및 각각의 기판(140)에 대한 프로세싱 가스의 균일한 노출을 제공하는데 있어서 도움이 된다. 일 실시예에서, 캐리어 플레이트(114)는 서셉터 플레이트(115)를 포함하는 캐리어 지지 장치에 의해서 지지된다.
도 11a-11f는 일 실시예에 따른 캐리어(1100)를 개략적으로 도시한다. 캐리어(1100)는 프로세싱 동안에 기판을 홀딩하기 위한 복수의 슬롯(1112)을 포함한다. 일 실시예에서, 28개의 슬롯(1112)이 있을 수 있다. 슬롯(1112)은 3개의 독립적인 직경을 따라서 정렬될 수 있을 것이다. 3개의 슬롯(1112)이 화살표(1140)로 도시된 바와 같이 약 2.0 인치 내지 약 3.0 인치의 직경을 따라서 배치될 수 있다. 9개의 슬롯(1112)이 화살표(1106)로 도시된 바와 같이 약 6.0 인치 내지 약 7.0 인치의 직경을 따라서 배치될 수 있다. 16개의 슬롯(1112)이 화살표(1102)로 도시된 바와 같이 약 10 인치 내지 약 11 인치의 직경을 따라서 배치될 수 있다. 캐리어(1100)의 외측 직경은 화살표(1104)로 도시된 바와 같이 약 13 인치 내지 약 14 인치일 수 있을 것이다. 가장 내측의 직경을 따른 슬롯(1112)의 중심과 가장 외측의 직경을 따른 슬롯(1112)의 중심은 화살표(1108)로 도시한 바와 같이 약 8 도 내지 약 11 도 만큼 이격될 수 있을 것이다. 가장 내측 직경에 배치된 2개의 슬롯(1112)의 중심들은 화살표(1110)로 도시한 바와 같이 약 110 도 내지 약 130 도가 될 수 있을 것이다. 중간 직경을 따른 2개의 인접한 슬롯(1112)의 중심들은 화살표(1114)로 도시한 바와 같이 약 35 도 내지 약 42 도가 될 수 있을 것이다. 가장 외측의 직경을 따른 인접한 슬롯(1112)들의 중심들은 화살표(1116)로 도시한 바와 같이 약 22 도 내지 약 25 도가 될 수 있을 것이다. 캐리어(1100)의 외측 엣지가 화살표(1118)로 도시된 바와 같이 약 40 도 내지 약 50 도의 각도로 라운딩될 수 있을 것이고 그리고 화살표(1120)로 도시된 바와 같이 약 0.01 인치 내지 약 0.075 인치의 두께를 가질 수 있을 것이다.
캐리어(1100)는 슬롯(1112) 반대쪽의 바닥 표면(1122) 및 상단부(top) 표면(1130)을 구비한다. 각 슬롯(1112)은 바닥 표면(1124) 내에서 종료되는 측벽(1128)을 구비한다. 측벽(1128)은 상단부 표면(1130)에 대해서 실질적으로 수직이다. 캐리어의 바닥 표면(1124)은, 그 위에 놓이게 될 기판에 대해서, 오목한 표면을 가진다. 특히, 바닥 표면(1124)은 캐리어(1100)의 측벽(1128)으로부터 즉시 곡선을 이루며, 그에 따라 렛지(ledge)가 존재하지 않는다. 추가적으로, 바닥 표면(1124)이 오목하기 때문에, 캐리어(1100)와 접촉하는 기판의 영역(area)이 최소화된다. 캐리어(1100)의 엣지는 화살표(1132)에 의해서 도시된 바와 같이 약 80 도 내지 약 100 도로 각을 이루는 경사형(slanted) 슬롯(1136) 및 화살표(1134)에 의해서 도시된 바와 같이 약 0.025 인치 내지 약 0.5 인치의 반경을 가질 수 있을 것이다. 경사형 슬롯(1136)은 캐리어(1100) 내로 약 0.03 인치 내지 약 0.05 인치 만큼 연장할 수 있을 것이다.
도 4a는 본원 발명의 일 실시예에 따른 서셉터 플레이트의 상부 표면을 도시한 사시도이다. 도 4b는 본원 발명의 일 실시예에 따른 서셉터 플레이트의 하부 표면을 도시한 사시도이다. 서셉터 플레이트(115)는 디스크 형태를 가지고 그리고 실리콘 탄화물로 코팅된 그라파이트 물질로 제조된다. 서셉터 플레이트(115)의 상부 표면(156)이 원형 리세스(127)로 형성된다. 원형 리세스(127)는 캐리어 플레이트(114)를 수용하고 그리고 지지하기 위한 지지 영역으로서 작용한다. 서셉터 플레이트(115)는 승강 핀을 수용하기 위한 3개의 관통 홀(158)을 구비한다. 서셉터 플레이트(115)는 챔버의 하부 부피(110) 내에 배치되고 석영으로 제조된 서셉터 지지 샤프트(118)에 의해서 하부측으로부터 3개의 지점에서 수평으로 지지된다. 서셉터 플레이트의 하부 표면(159)은 서셉터 지지 샤프트(118)의 승강 아암을 수용하기 위한 3개의 홀(167)을 구비한다. 서셉터 플레이트(115)가 3개의 홀(167)을 가지는 것으로 설명되지만, 서셉터 지지 샤프트(118)의 승강 아암의 수에 대응하는 임의 수의 홀들이 이용될 수 있을 것이다.
도 5a-5c 및 도 6과 관련하여 승강 기구(150)를 설명한다. 도 5a는 서셉터 지지 샤프트의 사시도이고 도 6은 캐리어 플레이트 승강 기구의 사시도이다. 서셉터 지지 샤프트(118)는 중앙 샤프트(132)로부터 방사상으로 연장하는 3개의 승강 아암(134)과 함께 중앙 샤프트(132)를 포함한다. 서셉터 지지 샤프트(118)가 3개의 승강 아암(134)을 가지는 것으로 도시되어 있지만, 3개 보다 많은 임의 수의 승강 아암이 또한 이용될 수 있을 것이며, 예를 들어, 서셉터 지지 샤프트(118)가 도 5b에 도시된 바와 같이 6개의 승강 아암(192)을 포함할 수 있을 것이다. 도 5c에 도시된 하나의 실시예에서, 승강 아암은 디스크(195)에 의해서 대체되고, 그러한 디스크는 서셉터 플레이트(115)를 지지하기 위해서 디스크(195)의 표면으로부터 연장하는 지지 기둥(196)을 가진다.
캐리어 플레이트 승강 기구(150)는 서셉터 지지 샤프트(118)의 중심 샤프트(132)를 둘러싸도록 정렬된 수직 가동형 승강 튜브(152), 상기 승강 튜브(152)를 상하로 이동시키기 위한 구동 유닛(도시하지 않음), 상기 승강 튜브(152)로부터 방사상으로 연장하는 3개의 승강 아암(154), 및 관통하여 침투하도록 형성된 각각의 관통 홀(158)에 의해서 서셉터 플레이트(115)의 바닥 표면으로부터 현수된 승강 핀(157)을 포함한다. 그러한 구성에서 승강 튜브(152) 및 승강 아암(154)을 상승시키기 위해서 구동 유닛이 제어될 때, 승강 핀(157)이 승강 아암(154)의 말단부에 의해서 위쪽으로 푸싱되며(pushed) 그에 따라 캐리어 플레이트(114)가 상승한다.
도 1에 도시된 바와 같이, 하부 돔(119) 아래쪽에 배치된 복수의 내측 램프(121A), 복수의 중앙 램프(121B), 및 복수의 외측 램프(121C)에 의해서 복사 가열이 제공될 수 있을 것이다. 내측, 중앙, 및 외측 램프(121A, 121B, 121C)에 의해서 제공된 복사 에너지에 대한 챔버(102)의 노출을 제어하는 것을 돕기 위해서 반사부(166)가 이용될 수 있을 것이다. 램프의 부가적인 지역(zones)이 또한 기판(140)의 미세 온도 제어를 위해서 이용될 수 있을 것이다. 일 실시예에서, 반사부(166)가 금으로 코팅된다. 다른 실시예에서, 반사부(166)가 알루미늄, 로듐, 니켈, 이들의 조합, 또는 다른 고반사 물질로 코팅된다. 일 실시예에서, 램프 마다 2 킬로와트의 24 개의 램프를 지역마다 포함하는 총 72 개 램프가 존재한다. 일 실시예에서, 램프들은 공냉되고 그리고 램프들의 베이스가 수냉된다.
도 9a-9d는 일 실시예에 따른 반사부(900)를 개략적으로 도시한다. 반사부는 도 9b에서 평면도로 그리고 도 9c에서 단면도로 도시되어 있다. 반사부는 직경이 약 15 인치 내지 약 17 인치일 수 있고 화살표(944)에 의해서 표시된 바와 같이 반사부(900)의 전체 직경의 외측으로 연장하는 바닥 렛지 영역(902)을 포함한다. 반사부(900)는 화살표(924)로 표시한 바와 같이 약 100 도 내지 약 120 도의 각도로 렛지 영역(902)으로부터 위쪽으로 경사진다. 최대 반사율을 보장하기 위해서 반사부(900)의 경사진 내측 및 외측 표면이 금과 같은 고반사 물질(904)로 코팅될 수 있을 것이다. 그러나, 반사부(900)가 구리를 포함할 수 있을 것이다. 반사부(900)의 경사 부분은 화살표(906)로 표시한 바와 같이 약 0.20 인치 내지 약 0.30 인치의 폭을 가질 수 있을 것이다. 반사부(900)의 경사진 측부는 반사부(900)의 개구부를 통한 중심선에 실질적으로 평행한 직선형 부분에서 종료될 수 있을 것이며, 이때 반사부의 상부 모서리(926)가 둥글게 처리될 수 있을 것이다(rounded).
경사 표면들 때문에, 반사부(900)는 바닥의 개구부보다 적은 개구부를 상단부에 구비한다. 상단부의 개구부는 화살표(908)로 표시한 바와 같이 약 6 인치 내지 약 6.5 인치의 직경을 가질 수 있다. 상단부에서의 개구부의 외측 직경이 화살표(910)로 표시한 바와 같이 약 6.5 인치 내지 약 7.0 인치일 수 있을 것이다. 다른 한편으로, 반사부(900)의 바닥에서의 개구부는 화살표(912)로 표시한 바와 같이 약 9.0 인치 내지 약 9.25 인치의 직경을 가질 수 있을 것이다. 반사부의 플랜지 영역(902)이 화살표(916)로 표시한 바와 같이 반사부(900)의 바닥 위쪽으로 약 0.1 인치 내지 약 0.2 인치의 거리에서 시작될 수 있을 것이다. 반사부(900)의 맨 아래쪽 바닥 표면이 화살표(914)로 표시한 바와 같이 약 10 인치 내지 약 10.5 인치의 직경을 가질 수 있을 것이다. 플랜지 영역(902)이 화살표(918)로 표시한 바와 같이 약 0.3 인치 내지 약 0.4 인치의 높이를 가질 수 있을 것이다. 플랜지 영역(902)의 바닥으로부터의 경사진 슬라이드의 단부까지의 영역은 화살표(920)로 표시한 바와 같이 약 2.80 인치 내지 약 3.0 인치의 높이를 가질 수 있을 것이다. 반사부(900)는 플랜지 영역(902)의 바닥으로부터 반사부(900)의 상단부까지 화살표(922)로 표시한 바와 같이 약 3.25 인치 내지 약 3.5 인치의 전체 높이를 가질 수 있을 것이다.
복수의 홀(942)은 반사부(900)를 통해서 보어링 가공될 수 있을 것이다. 홀(942)들은 화살표(930)로 표시한 바와 같이 약 11.25 인치 내지 약 11.60 인치의 직경을 따라서 반사부(900)의 개구부와 센터링될 수 있을 것이다. 부가적인 홀(934)은 홀(942)과 동일한 직경으로 제공될 수 있으나, 홀(934)이 그 보다 작은 직경을 가질 수 있을 것이다. 부가적인 홀(934)은 화살표(936)로 표시한 바와 같이 다른 홀(942)로부터 약 25 도 내지 약 32 도의 방사상 각도로 이격될 수 있을 것이다. 반사부(900)의 경사진 벽들이 화살표(932)로 표시한 바와 같이 약 9.30 인치의 직경으로부터 약 9.50 인치의 직경까지 상향 경사지기 시작한다. 부가적인 홀(948)들이 화살표(946)로 표시한 바와 같이 약 14.5 인치 내지 약 15.0 인치의 직경에서 반사부(900)의 중심으로부터 보다 큰 거리로 이격될 수 있을 것이다. 부가적인 홀(940)들이 존재할 수 있으나, 홀(940)들의 직경은 홀(948)들의 직경 보다 더 작을 수 있을 것이다. 부가적인 홀(940)이 화살표(938)로 표시한 바와 같이 홀(942)들 중 하나로부터 약 40 도 내지 약 50 도로 이격될 수 있을 것이다. 홀(948)들이 화살표(928)로 표시한 바와 같이 홀(942)들로부터 약 25 도 내지 약 32 도로 이격될 수 있을 것이다.
도 10a-10c는 다른 실시예에 따른 반사부(1000)를 도시한 개략도이다. 반사부(1000)는 화살표(1026)로 표시한 바와 같이 약 0.1 인치 내지 약 0.2 인치의 높이를 가지고 그리고 화살표(1024)로 표시한 바와 같이 반사부(1000)의 바닥 위쪽으로 약 0.3 인치 내지 약 0.35 인치의 높이에서 시작되는 플랜지 영역(1002)을 구비한다. 반사부(1000)의 외측 표면은 직선형 섹션(1006)과 만나기 전에 모서리(1004)에서 벤딩되고 그리고 표면(1010)을 따라서 내측으로 굽어지기 전에 측부 표면(1008)을 따라서 다시 위로 굽어진다. 반사부(1000)의 내부는 측벽(1014)을 따라서 개구부를 통한 중심선과 평행하게 약간 전향(turning)되기 전에 표면(1012)을 따라서 위쪽으로 경사진다. 플랜지 영역(1002)을 포함하지 않는 반사부(1000)의 바닥은 화살표(1016)로 표시한 바와 같이 약 14 인치 내지 약 15 인치의 전체 직경을 가진다. 반사부(1000)의 바닥 개구부는 화살표(1018)로 표시한 바와 같이 약 12 인치 내지 약 13 인치의 직경을 가진다. 측벽(1012) 및 측벽(1014)의 교차부는 화살표(1020)로 표시한 바와 같이 약 10 인치 내지 약 11 인치의 직경을 가지는 한편, 반사부(1000)의 상단부 개구부는 화살표(1022)로 표시한 바와 같이 약 10.5 인치 내지 약 11.5 인치의 직경을 가진다.
반사부(1000)는 화살표(1028)로 표시한 바와 같이 반사부(1000)의 바닥으로부터 측벽(1008)의 시작부까지 약 1.0 인치 내지 약 1.25 인치의 높이를 가진다. 반사부(1000)는 화살표(1030)로 표시한 바와 같이 반사부(1000)의 바닥으로부터 측벽(1008)의 중간까지 약 1.60 인치 내지 약 1.80 인치의 높이를 가진다. 반사부(1000)는 화살표(1032)로 표시한 바와 같이 반사부(1000)의 바닥으로부터 측벽(1012)과 측벽(1014)의 교차부까지 약 2.95 인치 내지 약 3.10 인치의 높이를 가진다. 반사부(1000)는 화살표(1034)로 표시한 바와 같이 반사부(1000)의 바닥으로부터 측벽(1008)과 측벽(1010)의 교차부까지 약 3.10 인치 내지 약 3.30 인치의 높이를 가진다. 반사부(1000)의 전체 높이는 화살표(1036)로 표시한 바와 같이 약 4.35 인치 내지 약 4.65 인치가 된다. 플랜지 영역(1002)을 포함하는 반사부(1000)의 전체 직경은 화살표(1038)로 표시한 바와 같이 약 19 인치 내지 약 20 인치가 된다.
복수의 홀(1040)이 반사부(1000)를 통해서 보어링 가공될 수 있다. 홀(1040)은 화살표(1046)로 표시한 바와 같이 약 15 인치 내지 약 15.75 인치의 직경에서 반사부(1000)의 개구부와 센터링되는 직경을 따라 배치될 수 있을 것이다. 부가적인 개구부(1050)가 홀(1040)과 동일한 직경에 존재할 수 있으나, 화살표(1062)로 표시한 바와 같이 홀(1040)들 중 하나로부터 약 5 도 내지 약 10 도로 이격될 수 있을 것이다. 부가적인 홀(1042)이 개구부의 중심으로부터 보다 먼 거리에서 반사부(1000)를 통해서 보어링될 수 있을 것이다. 부가적인 홀(1042)은 화살표(1048)로 표시한 바와 같이 약 18.50 인치 내지 약 19.0 인치의 직경을 따라서 반사부(1000)의 개구부와 센터링되는 직경을 따라서 배치될 수 있을 것이다. 부가적인 홀(1044)이 홀(1042)과 동일한 직경을 따라서 존재할 수 있으나, 가장 가까운 홀(1042)로부터 약 2.0 도 내지 약 3.0 도로 이격될 수 있을 것이다.
모서리(1004)가 화살표(1052)로 표시한 바와 같이 약 13.5 인치 내지 약 14.0 인치의 직경에 배치될 수 있을 것이다. 측벽(1008) 및 측벽(1006)은 화살표(1054)로 표시한 바와 같이 약 12.5 인치 내지 약 13.0 인치의 직경에서 만날(meet) 수 있을 것이다. 측벽(1008) 및 측벽(1010)은 화살표(1056)로 표시한 바와 같이 약 12.0 인치 내지 약 12.5 인치의 직경에서 만날 수 있을 것이다. 반사부(1000)는 화살표(1058)로 표시한 바와 같이 약 11.5 인치 내지 약 12.0 인치의 그리고 화살표(1060)로 표시한 바와 같이 약 11.0 인치 내지 약 11.5 인치의 부가적인 외측 직경들을 가질 수 있을 것이다.
복수의 내측 램프, 중앙 램프, 및 외측 램프(121A, 121B, 121C)가 동심적인 지역들 또는 다른 지역들(도시하지 않음)에 정렬될 수 있으며, 그리고 각각의 지역이 독립적으로 파워를 공급받을 수 있고(powered) 그에 따라 온도 제어를 통해서 증착 속도 및 성장 속도를 조정할 수 있게 된다. 일 실시예에서, 고온계(122A, 122B, 122C)와 같은 하나 또는 둘 이상의 온도 센서가 샤워헤드 조립체(104) 내에 배치되어 기판(140) 및 캐리어 플레이트(114) 온도를 측정할 수 있을 것이고, 그리고 온도 데이터가 제어부(도시하지 않음)로 전송될 수 있을 것이며, 그러한 제어부는 각각의 지역에 대한 파워를 조정하여 캐리어 플레이트(114)에 걸친 소정 온도 프로파일을 유지할 수 있을 것이다. 일 실시예에서, 비활성 가스가 고온계(122A, 122B, 122C) 주위를 거쳐 프로세싱 부피(108) 내로 유동하여 고온계(122A, 122B, 122C) 상에서 증착 및 응축이 발생하는 것을 방지한다. 고온계(122A, 122B, 122C)는 표면 상의 증착으로 인한 복사율(emissivity)의 변화를 자동적으로 보상할 수 있다. 비록 3개의 고온계(122A, 122B, 122C)가 도시되어 있지만, 임의 수의 고온계가 이용될 수 있다는 것을 이해하여야 할 것이고, 예를 들어, 부가적인 램프 지역들이 부가되는 경우에 각각의 부가적인 지역을 모니터링하기 위해서 부가적인 고온계를 부가하는 것이 바람직할 것이다. 다른 실시예에서, 독립적인 램프 지역들로의 파워 공급은 전구체 유동 또는 전구체 농도의 비-균일성을 보상하도록 조정될 수 있을 것이다. 예를 들어, 외측 램프 지역에 인접한 캐리어 플레이트(114) 구역에서 전구체 농도가 낮다면, 외측 램프 지역으로의 파워 공급을 조정하여 이러한 구역에서의 전구체 고갈을 보상하는 것을 도울 수 있을 것이다. 저항형 가열에 대비한 램프 가열 이용의 이점에는, 캐리어 플레이트(114) 표면에 걸친 보다 적은 온도 범위(range)가 포함되며, 이는 제품 수율을 개선한다. 램프의 신속한 가열 및 신속한 냉각 능력은 처리량(throughput)을 증대시키고 그리고 또한 뚜렷한(sharp) 필름 경계면을 생성하는데 도움이 된다.
반사율 모니터(123), 열전쌍(도시하지 않음), 또는 기타 온도 장치와 같은 다른 계측 장치가 또한 챔버(102)와 커플링될 수 있을 것이다. 그러한 계측 장치는 두께, 조도, 조성, 온도 또는 기타 특성과 같은 다양한 필름 특성을 측정하기 위해서 이용될 수 있을 것이다. 이들 측정치를 자동화된 실시간 피드백 제어 루프에서 이용하여 증착 속도 및 대응하는 두께와 같은 프로세스 조건들을 제어할 수 있을 것이다. 일 실시예에서, 반사율 모니터(123)가 중앙 도관(도시하지 않음)을 통해서 샤워헤드 조립체(104)와 커플링된다.
내측, 중앙, 및 외측 램프(121A, 121B, 121C)가 기판(140)을 약 400 ℃ 내지 약 1200 ℃의 온도까지 가열할 수 있을 것이다. 본원 발명이 내측, 중앙, 및 외측 램프(121A, 121B, 121C)의 어레이의 이용으로 제한되지 않는다는 것을 이해하여야 할 것이다. 임의의 적합한 가열 공급원을 이용하여 적절한 온도가 챔버(102) 및 그 내부의 기판(140)으로 적절하게 인가될 수 있도록 보장할 수 있을 것이다. 예를 들어, 다른 실시예에서, 가열 공급원은 캐리어 플레이트(114)와 열적으로 접촉하는 저항형 가열 요소(도시하지 않음)를 포함할 수 있을 것이다.
도 2 및 도 7을 참조하면, 도 7은 본원 발명의 일 실시예에 따른 배출 프로세스 키트를 도시한 사시도이다. 일 실시예에서, 프로세스 키트가 광 차폐부(117), 배출 링(120), 및 배출 실린더(160)를 포함할 수 있을 것이다. 도 2에 도시된 바와 같이, 광 차폐부(117)가 캐리어 플레이트(114)의 둘레 주위로 배치될 수 있을 것이다. 광 차폐부(117)는 내측 램프(121A), 중앙 램프(121B), 및 외측 램프(121C)로부터 서셉터 직경의 외측으로 벗어나는 에너지를 흡수하고 그리고 그 에너지를 챔버(102)의 내측을 향해서 재배향시키는 것을 돕는다. 광 차폐부(117)는 직접적인 램프 복사 에너지가 계측 공구와 간섭하는 것을 또한 차단한다. 일 실시예에서, 광 차폐부(117)는 내측 엣지 및 외측 엣지를 구비하는 환형 링을 일반적으로 포함한다. 일 실시예에서, 환형 링의 외측 엣지가 위쪽으로 각을 이룬다. 광 차폐부(117)는 실리콘 탄화물을 일반적으로 포함한다. 또한, 광 차폐부(117)는 전자기 에너지를 흡수하는 세라믹과 같은 다른 물질을 포함할 수 있을 것이다. 광 차폐부(117)는 배출 실린더(160), 배출 링(120) 또는 챔버 본체(103)의 다른 부분과 커플링될 수 있을 것이다. 일반적으로, 광 차폐부(117)는 서셉터 플레이트(115) 또는 캐리어 플레이트(114)와 접촉하지 않는다.
도 21a-12e는 일 실시예에 따른 커버 링(1200)을 개략적으로 도시한 도면이다. 일 실시예에서, 커버 링(1200)이 탄소 그라파이트를 포함할 수 있을 것이다. 커버 링(1200)은 화살표(1208)로 표시한 바와 같이 약 15 인치 내지 약 16 인치의 외경을 가진다. 커버 링(1200)은 상단부 표면(1224)을 구비한다. 커버 링(1200)의 엣지는 플랜지 상의 곡선형 모서리(1212)를 구비한다. 커버 링의 상단부로부터 모서리(1212)까지의 플랜지의 높이는 화살표(1214)로 표시한 바와 같이 약 0.02 인치 내지 약 0.04 인치인 한편, 전체 플랜지 부분은 화살표(1216)로 표시한 바와 같이 약 0.05 인치 내지 약 0.07 인치의 높이를 가진다. 플랜지 영역은 커버 링(1200)의 모서리(1222)로부터 연장한다. 커버 링(1200)의 다수의 모서리(1222, 1218, 1230 및 1226)가 존재한다. 모서리(1222 및 1218)는 화살표(1210)로 표시한 바와 같이 약 15 인치 내지 약 16 인치의 직경을 따라서 배치된다. 중간 플랜지(1220)가 화살표(1206)로 표시한 바와 같이 약 14 인치 내지 약 15 인치의 직경에 배치된다. 외측 플랜지(1228)가 또한 존재할 수 있을 것이다. 외측 플랜지(1228)가 화살표(1232)로 표시한 바와 같이 약 0.1 인치 내지 약 0.2 인치의 높이를 가질 수 있을 것이다. 중간 플랜지(1220)가 화살표(1236)로 표시한 바와 같이 외측 플랜지를 지나서 약 0.07 인치 내지 약 0.08 인치 만큼 연장할 수 있고 그리고 전체 거리는 화살표(1234)로 표시한 바와 같이 약 0.2 인치 내지 약 0.3 인치가 될 수 있다.
커버 링(1200)은 완전한 원을 형성하는 것을 방지하기 위한 슬롯을 구비한다. 커버 링(1200)의 단부들은 화살표(1238)로 표시한 바와 같이 약 0.01 인치 내지 약 0.03 인치의 만큼 단부들 사이의 갭의 중심으로부터 이격될 수 있을 것이다. 커버 링(1200)의 단부들은 화살표(1240)로 표시한 바와 같이 약 0.03 인치 내지 약 0.05 인치의 전체 거리 만큼 이격될 수 있을 것이다. 중간 플랜지가 화살표(1204)로 표시한 바와 같이 약 14 인치 내지 약 15 인치의 직경을 가질 수 있을 것이다. 커버 링(1200) 내의 개구부의 직경은 화살표(1202)로 표시한 바와 같이 약 13 인치 내지 약 14 인치가 될 수 있을 것이다.
도 13a-13f는 다른 실시예에 따른 커버 링(1300)의 개략도이다. 도 13b는 커버 링(1300)의 평면도이다. 커버 링(1300)은 화살표(1302)로 표시한 바와 같이 약 430 mm 내지 약 460 mm의 외경을 가진다. 커버 링(1300)은 화살표(1304)로 표시한 바와 같이 약 325 mm 내지 약 360 mm의 내경을 가진다. 커버 링(1300)은 도 13d-13f에 대응하는 부가적인 직경들로서, 화살표(1306)로 표시한 바와 같이 약 375 mm 내지 약 390 mm, 화살표(1308)로 표시한 바와 같이 약 390 mm 내지 약 400 mm, 화살표(1310)로 표시한 바와 같이 약 295 mm 내지 약 405 mm, 그리고 화살표(1312)로 표시한 바와 같이 약 400 mm 내지 약 420 mm의 부가적인 직경들을 가진다. 커버 링(1300)은 화살표(1314)로 표시한 바와 같이 약 180 mm 내지 약 190 mm의 반경에 배치된 중심선(1330)을 가지는 내측 립을 구비한다. 일 실시예에서, 커버 링(1300)은 투명한(clear) 석영을 포함할 수 있을 것이다.
커버 링(1300)은 화살표(1324)로 표시한 바와 같이 약 2.0 mm 내지 약 3.5 mm의 높이를 가지는 외측 플랜지를 구비한다. 외측 립(1316)은 화살표(1322)로 표시한 바와 같이 약 5.0 mm 내지 약 7.5 mm의 높이로 연장한다. 커버 링(1300)은 화살표(1320)로 표시한 바와 같이 약 1.0 mm 내지 약 2.5 mm의 두께를 가진다. 외측 립(1316)은 화살표(1318)로 표시한 바와 같이 커버 링(1300)으로부터 약 140 도 내지 약 145 도의 각도로 연장한다. 커버 링(1300)은 화살표(1326)로 표시한 바와 같이 내측 립의 내부에서 약 2.0 mm 내지 약 3.5 mm의 두께를 가진다. 내측 립은 화살표(1328)로 표시한 바와 같이 약 1.0 mm 내지 약 3.5 mm의 폭을 가진다.
일 실시예에서, 배출 링(120)은 캐리어 플레이트(114)의 둘레 주위로 배치되어 하부 부피(110) 내에서 증착이 일어나는 것을 방지하는데 도움을 줄 수 있고 그리고 또한 배출 가스를 챔버(102)로부터 배출 포트(109)로 지향시키는데 도움을 줄 수 있다. 일 실시예에서, 배출 링(120)은 실리콘 탄화물을 포함한다. 배출 링(120)은 세라믹과 같이 전자기 에너지를 흡수하는 다른 물질을 또한 포함할 수 있을 것이다.
도 14a-14d는 일 실시예에 따른 상단부 링(1400)을 도시한 개략도이다. 상단부 링(1400)은 화살표(1402)로 표시한 바와 같이 약 500 mm 내지 약 510 mm의 외경을 가진다. 상단부 링(1400)은 화살표(1404)로 표시한 바와 같이 약 400 mm 내지 약 425 mm의 내경을 가진다. 상단부 링(1400)은 화살표(1416)로 표시한 바와 같이 약 2.5 mm 내지 약 3.5 mm의 두께를 가지는 상단부 부분(1420)을 가진다. 상단부 링(1400)은 상단부 부분으로부터 연장하는 플랜지를 또한 구비한다. 그러한 플랜지는 내측 엣지(1412) 및 외측 엣지(1414)를 가진다. 플랜지는 모서리(1406)에서 상단부 부분에 연결된다. 플랜지는 내경에 모서리(1408)를 가진다. 상단부 링(1400)은 화살표(1418)로 표시한 바와 같이 약 5.0 mm 내지 약 7.5 mm의 전체 두께를 가진다.
도 15a-15h는 일 실시예에 따른 배출 링(1500)의 개략도이다. 배출 링(1500)은 배출 링(1500)으로부터 연장하는 복수의 치형부(1502)를 구비할 수 있을 것이다. 치형부(1502)는 화살표(1504)로 표시한 바와 같이 약 14 인치 내지 약 15 인치의 직경에 배치될 수 있을 것이다. 배출 링(1500)의 외경은 화살표(1506)로 표시한 바와 같이 약 16 인치 내지 약 17 인치가 될 수 있을 것이다. 배출 링(1500)은 완전하게 결합된 원이 아닐 수 있고, 그에 따라 배출 링(1500)의 2개의 단부 사이에 갭이 존재할 수 있을 것이다. 그러한 갭은 화살표(1510)로 표시한 바와 같이 약 0.03 인치 내지 약 0.05 인치의 폭을 가질 수 있을 것이고 그리고 화살표(1512)로 표시한 바와 같이 약 0.01 인치 내지 약 0.03 인치의 절반 폭(half width)을 가질 수 있을 것이다.
치형부(1502)는 화살표(1518)로 표시한 바와 같이 약 0.3 인치 내지 약 0.4 인치의 거리 만큼 이격될 수 있을 것이다. 치형부(1502)는 화살표(1520)로 표시한 바와 같이 약 0.05 인치 내지 약 0.15 인치의 거리 만큼 배출 링(1500) 내에서 걸리(1514) 위쪽으로 상승한다. 배출 링(1500)의 전체 높이는 화살표(1522)로 표시한 바와 같이 약 0.5 인치 내지 약 0.6 인치가 될 수 있을 것이다.
배출 링(1500)은 몇 개의 모서리(1538, 1540, 1544)를 구비한다. 모서리(1538, 1540)는 배출 링(1500)의 상승된 부분의 위치를 표시한다. 상승된 부분은 화살표(1536)로 표시한 바와 같이 약 0.03 인치 내지 약 0.05 인치 만큼 상승된다. 배출 링(1500)의 플랜지 부분은 화살표(1542)로 표시한 바와 같이 약 0.15 인치 내지 약 0.2 인치의 높이를 가진다. 플랜지는 화살표(1548)로 표시한 바와 같이 약 0.18 인치 내지 약 0.21 인치의 연장부(run)를 가지는 경사진 표면을 구비한다.
일 실시예에서, 배출 링(120)은 배출 실린더(160)와 커플링된다. 일 실시예에서, 배출 실린더(160)는 배출 링(120)에 대해서 수직이다. 배출 실린더(160)는 중심으로부터 캐리어 플레이트(114)의 표면을 가로질러 외측으로 균일하고 균등한 방사상 유동을 유지하는데 도움이 되고 그리고 프로세스 부피(108)의 외부로 그리고 환형 배출 채널(105) 내부로 가스가 유동하는 것을 제어하는 것을 돕는다. 배출 실린더(160)는 내측 측벽(162) 및 외측 측벽(163)을 구비하는 환형 링(161)을 포함하고, 관통 홀들 또는 슬롯(165)들이 상기 측벽들을 통해서 연장하고 그리고 링(161)의 둘레 전체를 통해서 일정한 간격으로 배치된다. 일 실시예에서, 배출 실린더(160) 및 배출 링(120)은 단일 피스를 포함한다. 일 실시예에서, 배출 링(120) 및 배출 실린더(160)는 당업계에 공지된 부착 기술을 이용하여 함께 커플링될 수 있는 독립적인 피스들을 포함한다. 도 2를 참조하면, 프로세스 가스가 샤워헤드 조립체(104)로부터 캐리어 플레이트(114)를 향해서 하향 유동하고 그리고 광 차폐부(117)의 위쪽으로 방사상 외측으로, 배출 실린더(160) 내의 슬롯(165)을 통해서 그리고 환형 배출 채널(105) 내로 이동하고, 상기 환형 배출 채널에서 상기 프로세스 가스가 배출 포트(109)를 통해서 최종적으로 챔버(102)를 빠져나간다. 배출 실린더(160) 내의 슬롯이 프로세스 가스의 유동을 초킹(choke; 방해하여)하여, 전체 서셉터 플레이트(115)에 걸친 균일한 방사상 유동을 달성하는데 도움을 준다. 일 실시예에서, 비활성 가스가 광 차폐부(117)와 배출 링(120) 사이에 형성된 갭을 통해서 상향 유동하여, 프로세스 가스가 챔버(102)의 하부 부피(110)로 유입하는 것을 방지하고 그리고 하부 돔(119) 상에 증착되는 것을 방지한다. 하부 돔(119) 상의 증착은 온도 균일성에 영향을 미칠 수 있고 그리고 일부 경우에 하부 돔(119)을 가열하여 균열을 발생시킬 수도 있을 것이다.
가스 전달 시스템(125)이 다중 가스 공급원을 포함할 수 있고, 또는, 실행되는 프로세스에 따라서, 일부 공급원이 기체 대신에 액체 공급원일 수 있으며, 그러한 경우에 가스 전달 시스템은 액체 주입(injection; 분사) 시스템 또는 액체를 기화시키기 위한 다른 수단(예를 들어, 버블러)을 포함할 수 있을 것이다. 이어서, 챔버(102)로 전달되기에 앞서서, 증기가 캐리어 가스와 혼합될 수 있을 것이다. 전구체 가스, 캐리어 가스, 퍼지 가스, 세정/에칭 가스 또는 기타와 같은 여러 가스들이 가스 전달 시스템(125)으로부터 샤워헤드 조립체(104)로의 독립된 공급 라인(131, 135)들로 공급될 수 있을 것이다. 공급 라인들은 각 라인 내의 가스의 유동을 모니터링 및 조정 또는 차단하기 위해서 차단 밸브, 그리고 질량 유동 제어부 또는 다른 타입의 제어부를 포함할 수 있을 것이다. 일 실시예에서, 전구체 가스 농도는 증기압 곡선 그리고 가스 공급원의 위치에서 측정된 온도 및 압력을 기초로 평가된다. 다른 실시예에서, 가스 전달 시스템(125)은 가스 공급원의 하류에 위치되어 시스템 내의 전구체 가스 농도의 직접적인 측정을 제공하는 모니터들을 포함한다.
도관(129)은 원격 플라즈마 공급원(126)으로부터 세정/에칭 가스를 수용할 수 있을 것이다. 원격 플라즈마 공급원(126)은 공급 라인(124)을 통해서 가스 전달 시스템(125)으로부터 가스를 수용하고, 그리고 밸브(130)는 샤워헤드 조립체(104)와 원격 플라즈마 공급원(126) 사이에 배치될 수 있을 것이다. 밸브(130)가 개방되어 세정 및/또는 에칭 가스 또는 플라즈마가 공급 라인(133)을 통해서 샤워헤드 조립체(104)로 유동하게 할 수 있으며, 상기 공급 라인(133)은 플라즈마를 위한 도관으로서 기능하도록 구성될 수 있을 것이다. 다른 실시예에서, 비-플라즈마 세정 및/또는 에칭의 경우에, 세정/에칭 가스가 다른 공급 라인 구성을 이용하여 가스 전달 시스템(125)으로부터 샤워헤드 조립체(104)로 전달될 수 있을 것이다. 또 다른 실시예에서, 플라즈마가 샤워헤드 조립체(104)를 우회하고 그리고 샤워헤드 조립체(104)를 횡단하는 도관(도시하지 않음)을 통해서 챔버(102)의 프로세싱 부피(108) 내로 직접 유동한다.
원격 플라즈마 공급원(126)은 챔버(102) 세정 및/또는 기판(140) 에칭을 위해서 구성된 무선 주파수 또는 마이크로파 플라즈마 공급원일 수 있을 것이다. 세정 및/또는 에칭 가스가 공급 라인(124)을 통해서 원격 플라즈마 공급원(126)으로 공급되어 플라즈마 종(species)을 생산할 수 있을 것이며, 그러한 플라즈마 종은 샤워헤드 조립체(104)를 통한 챔버(102) 내로의 분산을 위해서 도관(129) 및 공급 라인(133)을 통해서 이송될 수 있을 것이다. 세정 용도를 위한 가스들은 불소, 염소 또는 다른 반응성 원소를 포함할 수 있을 것이다.
다른 실시예에서, 전구체 가스들이 원격 플라즈마 공급원(126)으로 공급되어 플라즈마 종을 생성하도록 가스 전달 시스템(125) 및 원격 플라즈마 공급원(126)이 적절하게 구성될 수 있을 것이며, 상기 플라즈마 종은 예를 들어 Ⅲ-Ⅴ 필름과 같은 CVD 층을 기판(140) 상에 증착하기 위해서 샤워헤드 조립체(104)를 통해서 이송될 수 있을 것이다.
챔버 본체(103)의 바닥에 근접하여 그리고 캐리어 플레이트(114) 아래쪽에 배치된 유입구 포트 또는 튜브(도시하지 않음)로부터 및/또는 샤워헤드 조립체(104)로부터 퍼지 가스(예를 들어, 질소)가 챔버(102) 내로 전달될 수 있을 것이다. 퍼지 가스가 챔버(102)의 하부 부피(110)로 유입되고, 그리고 캐리어 플레이트(114) 및 배출 링(120)을 지나서 위쪽으로 그리고 다중 배출 포트(109) 내로 유동하며, 상기 다중 배출 포트는 환형 배출 채널(105) 주위로 배치된다. 배출 도관(106)은 환형 배출 채널(105)을 진공 시스템(112)에 연결하고, 상기 진공 시스템(112)은 진공 펌프(도시하지 않음)를 포함한다. 배출 가스가 환형 배출 채널(105)로부터 인출되는 속도를 제어하는 밸브 시스템(107)을 이용하여, 챔버(102) 압력을 제어할 수 있을 것이다.
기판(140) 프로세싱 동안에 샤워헤드 조립체(104)가 캐리어 플레이트(114)에 근접하여 위치된다. 일 실시예에서, 프로세싱 동안에 샤워헤드 조립체(104)로부터 캐리어 플레이트(114)까지의 거리가 약 4 mm 내지 약 40 mm의 범위가 될 수 있을 것이다.
기판 프로세싱 동안에, 본원 발명의 일 실시예에 따라서, 프로세스 가스가 샤워헤드 조립체(104)로부터 기판(140)의 표면을 향해서 유동한다. 프로세스 가스는 하나 또는 둘 이상의 전구체 가스, 그리고 상기 전구체 가스와 혼합될 수 있는 캐리어 가스 및 도펀트 가스를 포함할 수 있을 것이다. 프로세스 가스가 기판(140)에 대해서 실질적으로 접선 방향으로 유동하고 그리고 기판(140)의 증착 표면을 가로질러 층류 유동으로 방사상으로 균일하게 분포될 수 있도록 환형 배출 채널(105)의 인출(draw)이 가스 유동에 영향을 미칠 수 있을 것이다. 프로세싱 부피(108)가 약 760 Torr 내지 약 80 Torr의 압력에서 유지될 수 있을 것이다.
기판(140)의 표면에서의 또는 그에 근접한 프로세스 가스 전구체의 반응은 GaN, 알루미늄 질화물(AlN), 및 인듐 질화물(InN)을 포함하는 여러 가지 금속 질화물 층을 기판(140) 상에 증착할 것이다. 복수의 금속이 또한 AlGaN 및/또는 InGaN과 같은 다른 화합물 필름의 증착을 위해서 이용될 수 있을 것이다. 추가적으로, 실리콘(Si) 또는 마그네슘(Mg)과 같은 도펀트가 필름에 부가될 수 있을 것이다. 증착 프로세스 동안에 적은 양의 도펀트 가스를 부가함으로써 필름이 도핑될 수 있을 것이다. 실리콘 도핑의 경우에, 예를 들어, 실란(SiH4) 또는 디실란(Si2H6) 가스를 이용할 수 있을 것이고, 마그네슘 도핑을 위해서 도펀트 가스가 비스(시클로펜타디에닐)마그네슘(Cp2Mg 또는 (C5H5)2Mg)을 포함할 수 있을 것이다.
일 실시예에서, 에칭 또는 세정을 위해서 불소계 또는 염소계 플라즈마를 이용할 수 있을 것이다. 다른 실시예에서, 비-플라즈마 에칭을 위해서 Cl2, Br, 및 I2 와 같은 할로겐 가스, 또는 HCl, HBr, 및 HI와 같은 할라이드를 이용할 수 있을 것이다.
일 실시예에서, 샤워헤드 조립체(104)로 전달하기 전에, 질소 가스(N2), 수소 가스(H2), 아르곤(Ar) 가스, 다른 비활성 가스, 또는 이들의 조합을 포함할 수 있는 캐리어 가스가 제 1 및 제 2 전구체 가스와 혼합될 수 있을 것이다.
일 실시예에서, 제 1 전구체 가스가 Ⅲ 족 전구체를 포함할 수 있고, 그리고 제 2 전구체가 Ⅴ 족 전구체를 포함할 수 있을 것이다. Ⅲ 족 전구체는 금속 유기(MO) 전구체일 수 있고, 그러한 금속 유기 전구체에는 예를 들어 트리메틸 갈륨("TMG"), 트리에틸 갈륨(TEG), 트리메틸 알루미늄("TMAI"), 및/또는 트리메틸 인듐("TMI")이 포함되나, 다른 적합한 금속 유기 전구체들도 이용될 수 있을 것이다. Ⅴ 족 전구체는 암모니아(NH3)와 같은 질소 전구체일 수 있다.
도 8a는 본원 발명의 일 실시예에 따른 상부 라이너를 도시한 사시도이다. 도 8b는 본원 발명의 일 실시예에 따른 하부 라이너를 도시한 사시도이다. 일 실시예에서, 프로세스 챔버(102)는 프로세스 가스에 의한 에칭으로부터 챔버 본체(103)를 보호하는데 도움을 주는 상부 프로세스 라이너(170) 및 하부 프로세스 라이너(180)를 더 포함한다. 일 실시예에서, 상부 프로세스 라이너(170) 및 하부 프로세스 라이너(180)는 일체형 본체를 포함한다. 다른 실시예에서, 상부 프로세스 라이너(170) 및 하부 프로세스 라이너(180)는 분리된 피스들을 포함한다. 하부 프로세스 라이너(180)는 프로세스 챔버(102)의 하부 부피(110) 내에 배치되고 그리고 상부 프로세스 라이너(170)는 샤워헤드 조립체(104)에 인접하여 배치된다. 일 실시예에서, 상부 프로세스 라이너(170)는 하부 프로세스 라이너(180) 상에 놓인다(rest). 일 실시예에서, 하부 프로세스 라이너(180)는 배출 포트(109)의 일부를 형성할 수 있는 배출 포트(804) 개구부 및 슬릿 밸브 포트(802)를 구비한다. 상부 프로세스 라이너(170)는 환형 배출 채널(105)의 일부를 형성할 수 있는 배출 환형부(806)를 구비한다. 라이너들은 불투명한 석영, 사파이어, PBN 물질, 세라믹 그들의 유도체(derivatives) 또는 그들의 조합과 같은 단열 물질을 포함할 수 있을 것이다.
보다 큰 기판 및 보다 큰 증착 영역에 걸쳐 균일한 온도를 유지하면서도 균일한 전구체 유동 및 혼합을 제공하는 개선된 증착 장치 및 프로세스가 제공된다. 보다 큰 기판 및/또는 복수의 기판 그리고 보다 큰 증착 영역에 걸친 균일한 혼합 및 가열은 수율 및 처리량을 증대시키는데 있어서 바람직하다. 또한 균일한 가열 및 혼합은 중요한 인자인데, 이는 그들이 전자 소자 제조 비용에 직접적으로 영향을 미치기 때문이고, 그에 따라 소자 제조업자의 시장에서의 경쟁력에 직접적으로 영향을 미치기 때문이다.
본원 발명의 실시예들에 대해서 전술하였지만, 본원 발명의 다른 그리고 추가적인 실시예들도 본원 발명의 범위 내에서 안출될 수 있을 것이고, 본원 발명의 범위는 이하의 특허청구범위에 의해서 결정된다.

Claims (15)

  1. 기판 캐리어로서:
    28개의 슬롯이 내부에 형성된 기판 캐리어 본체를 포함하고,
    상기 슬롯들의 중심이 상기 기판 캐리어 본체의 중심으로부터 3개의 독립적인 방사상 거리들을 따라서 센터링되도록 상기 슬롯들이 배치되며, 이때 3개의 슬롯은 제 1 직경을 따라서 배치되고, 9개의 슬롯은 상기 제 1 직경 보다 큰 제 2 직경을 따라서 배치되며, 그리고 16개의 슬롯은 상기 제 2 직경 보다 큰 제 3 직경을 따라서 배치되는
    기판 캐리어.
  2. 제 1 항에 있어서,
    상기 슬롯들이 내부에 배치되는 기판에 대해서 오목한 표면을 구비하는
    기판 캐리어.
  3. 제 2 항에 있어서,
    상기 슬롯들이 상기 오목한 표면으로부터 상기 기판 캐리어 본체의 상단부 표면까지 연장하는 측벽을 구비하는
    기판 캐리어.
  4. 제 1 항에 있어서,
    3개의 슬롯들이 약 2.0 인치 내지 약 3.0 인치의 직경을 따라서 배치되는
    기판 캐리어.
  5. 제 4 항에 있어서,
    9개의 슬롯들이 약 6.0 인치 내지 약 7.0 인치의 직경을 따라서 배치되는
    기판 캐리어.
  6. 제 5 항에 있어서,
    16개의 슬롯들이 약 10 인치 내지 약 11 인치의 직경을 따라서 배치되는
    기판 캐리어.
  7. 제 1 항에 있어서,
    상기 캐리어 본체의 외경이 약 13 인치 내지 약 14 인치인
    기판 캐리어.
  8. 제 1 항에 있어서,
    가장 내측의 직경을 따른 슬롯의 중심 및 가장 외측의 직경을 따른 슬롯의 중심이 약 8 도 내지 약 11 도만큼 이격되는
    기판 캐리어.
  9. 기판 캐리어로서:
    복수의 슬롯이 내부에 형성된 기판 캐리어 본체를 포함하고,
    상기 각각의 슬롯이 측벽 및 상기 측벽으로부터 연장하는 오목한 바닥의 표면을 구비하는
    기판 캐리어.
  10. 제 9 항에 있어서,
    복수의 제 1 슬롯이 상기 캐리어 본체의 중심으로부터 제 1 직경에 배치되도록, 복수의 제 2 슬롯이 상기 캐리어 본체의 중심으로부터 제 2 직경에 배치되도록, 그리고 복수의 제 3 슬롯이 상기 캐리어 본체의 중심으로부터 제 3 직경에 배치되도록, 상기 슬롯들이 동심적으로 정렬되는
    기판 캐리어.
  11. 제 10 항에 있어서,
    상기 복수의 제 1 슬롯이 약 2.0 인치 내지 약 3.0 인치의 직경을 따라서 배치되는
    기판 캐리어.
  12. 제 11 항에 있어서,
    상기 복수의 제 2 슬롯이 약 6.0 인치 내지 약 7.0 인치의 직경을 따라서 배치되는
    기판 캐리어.
  13. 제 12 항에 있어서,
    상기 복수의 제 3 슬롯이 약 10 인치 내지 약 11 인치의 직경을 따라서 배치되는
    기판 캐리어.
  14. 제 13 항에 있어서,
    상기 캐리어 본체의 외경이 약 13 인치 내지 약 14 인치인
    기판 캐리어.
  15. 제 10 항에 있어서,
    가장 내측의 직경을 따른 슬롯의 중심 및 가장 외측의 직경을 따른 슬롯의 중심이 약 8 도 내지 약 11 도만큼 이격되는
    기판 캐리어.
KR1020127005814A 2009-08-05 2010-08-05 화학기상증착 장치 KR20120050471A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23155309P 2009-08-05 2009-08-05
US61/231,553 2009-08-05

Publications (1)

Publication Number Publication Date
KR20120050471A true KR20120050471A (ko) 2012-05-18

Family

ID=43544938

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127005814A KR20120050471A (ko) 2009-08-05 2010-08-05 화학기상증착 장치

Country Status (5)

Country Link
US (1) US20110121503A1 (ko)
KR (1) KR20120050471A (ko)
CN (1) CN102498557A (ko)
TW (1) TW201128734A (ko)
WO (1) WO2011017501A2 (ko)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9905444B2 (en) * 2012-04-25 2018-02-27 Applied Materials, Inc. Optics for controlling light transmitted through a conical quartz dome
WO2013181263A1 (en) * 2012-05-30 2013-12-05 Applied Materials, Inc. Apparatus and methods for rapid thermal processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
JP6006145B2 (ja) * 2013-03-01 2016-10-12 東京エレクトロン株式会社 疎水化処理装置、疎水化処理方法及び疎水化処理用記録媒体
US9123765B2 (en) * 2013-03-11 2015-09-01 Applied Materials, Inc. Susceptor support shaft for improved wafer temperature uniformity and process repeatability
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
JP6396409B2 (ja) * 2013-03-15 2018-09-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Epiプロセスのための均一性調整レンズを有するサセプタ支持シャフト
CN103305814A (zh) * 2013-06-06 2013-09-18 光垒光电科技(上海)有限公司 圆形托盘上的排布衬底收容槽的方法及圆形托盘
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160033070A1 (en) * 2014-08-01 2016-02-04 Applied Materials, Inc. Recursive pumping member
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3275008B1 (en) * 2015-03-25 2022-02-23 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
TWI600125B (zh) * 2015-05-01 2017-09-21 精材科技股份有限公司 晶片封裝體及其製造方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) * 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP7245071B2 (ja) * 2019-02-21 2023-03-23 株式会社ジェイテクトサーモシステム 基板支持装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) * 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TWI768648B (zh) * 2020-11-13 2022-06-21 台灣積體電路製造股份有限公司 氣體幕簾元件、傳送氣體的導管系統與傳送氣體的方法
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230352322A1 (en) * 2022-04-29 2023-11-02 Applied Materials, Inc. Multi-zone lamp heating for chemical vapor deposition

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH0878347A (ja) * 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
JPH09312267A (ja) * 1996-05-23 1997-12-02 Rohm Co Ltd 半導体装置の製法およびその製造装置
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
JP2000332096A (ja) * 1999-05-21 2000-11-30 Bridgestone Corp 製品ホルダー
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
DE10118130A1 (de) * 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
JP3660897B2 (ja) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
US20040175893A1 (en) * 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
JP2006173560A (ja) * 2004-11-16 2006-06-29 Sumitomo Electric Ind Ltd ウエハガイド、有機金属気相成長装置および窒化物系半導体を堆積する方法
JP4490304B2 (ja) * 2005-02-16 2010-06-23 株式会社ブリヂストン サセプタ
KR100663749B1 (ko) * 2005-04-28 2007-01-03 에피밸리 주식회사 발광소자 기판용 서셉터
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20060286819A1 (en) * 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7470599B2 (en) * 2006-04-14 2008-12-30 Applied Materials, Inc. Dual-side epitaxy processes for production of nitride semiconductor structures
US7575982B2 (en) * 2006-04-14 2009-08-18 Applied Materials, Inc. Stacked-substrate processes for production of nitride semiconductor structures
US20070241351A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Double-sided nitride structures
US20070254093A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
US20070254100A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
US7364991B2 (en) * 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7399653B2 (en) * 2006-04-28 2008-07-15 Applied Materials, Inc. Nitride optoelectronic devices with backside deposition
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7459380B2 (en) * 2006-05-05 2008-12-02 Applied Materials, Inc. Dislocation-specific dielectric mask deposition and lateral epitaxial overgrowth to reduce dislocation density of nitride films
US7560364B2 (en) * 2006-05-05 2009-07-14 Applied Materials, Inc. Dislocation-specific lateral epitaxial overgrowth to reduce dislocation density of nitride films
US20080050889A1 (en) * 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
KR101292626B1 (ko) * 2006-09-15 2013-08-01 주성엔지니어링(주) 기판 안치 수단 및 이를 구비하는 기판 처리 장치
US8216379B2 (en) * 2009-04-23 2012-07-10 Applied Materials, Inc. Non-circular substrate holders

Also Published As

Publication number Publication date
CN102498557A (zh) 2012-06-13
TW201128734A (en) 2011-08-16
US20110121503A1 (en) 2011-05-26
WO2011017501A3 (en) 2011-06-03
WO2011017501A2 (en) 2011-02-10

Similar Documents

Publication Publication Date Title
KR20120050471A (ko) 화학기상증착 장치
KR101296317B1 (ko) 화학기상증착 장치
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US20110259879A1 (en) Multi-Zone Induction Heating for Improved Temperature Uniformity in MOCVD and HVPE Chambers
US8481118B2 (en) Multi-gas straight channel showerhead
TWI478771B (zh) 多氣體同心注入噴頭
US20100273291A1 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US20130023079A1 (en) Fabrication of light emitting diodes (leds) using a degas process
TWI499085B (zh) 藉由空間侷限磊晶法生長iii-v族材料層
US8491720B2 (en) HVPE precursor source hardware
JP2012525713A (ja) Led向けのクラスタツール
TW200924854A (en) Multi-gas spiral channel showerhead
KR20070093493A (ko) 서셉터 및 반도체 제조장치
TW201246297A (en) Metal-organic vapor phase epitaxy system and process
KR102300508B1 (ko) 통합 에피택시 및 사전세정 시스템
US20100126419A1 (en) Susceptor for cvd apparatus and cvd apparatus including the same
TW201218301A (en) Apparatus having improved substrate temperature uniformity using direct heating methods
KR20120014361A (ko) 서셉터 및 이를 포함하는 화학증착장치
US20120227667A1 (en) Substrate carrier with multiple emissivity coefficients for thin film processing
US20120073503A1 (en) Processing systems and apparatuses having a shaft cover
WO2010129289A2 (en) Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
JP5759690B2 (ja) 膜の形成方法、半導体装置の製造方法及び基板処理装置
WO2012071302A2 (en) Interchangeable pumping rings to control path of process gas flow
JP2013235947A (ja) 回転ブレード気相成長装置
KR102234386B1 (ko) 서셉터 및 이를 포함하는 화학기상 증착 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid