KR20050013597A - 진공 처리 시스템용 전달 챔버 - Google Patents

진공 처리 시스템용 전달 챔버

Info

Publication number
KR20050013597A
KR20050013597A KR10-2004-7020838A KR20047020838A KR20050013597A KR 20050013597 A KR20050013597 A KR 20050013597A KR 20047020838 A KR20047020838 A KR 20047020838A KR 20050013597 A KR20050013597 A KR 20050013597A
Authority
KR
South Korea
Prior art keywords
chamber
main body
dome
radius
transfer chamber
Prior art date
Application number
KR10-2004-7020838A
Other languages
English (en)
Other versions
KR100682209B1 (ko
Inventor
시니치 쿠리타
엠마누엘 비어
훙 티. 엔쿠엔
웬델 티. 브로니간
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050013597A publication Critical patent/KR20050013597A/ko
Application granted granted Critical
Publication of KR100682209B1 publication Critical patent/KR100682209B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • C23C14/566Means for minimising impurities in the coating chamber such as dust, moisture, residual gases using a load-lock chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 처리 툴을 위한 전달 챔버는 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버에 결합하기 위하여 제공된 측벽들을 가진 메인 몸체를 포함한다. 상기 메인 몸체는 처리 챔버 및 로드 록 챔버 사이에서 기판을 전달하기 위하여 제공된 로보트의 적어도 일부를 하우징한다. 뚜껑은 전달 챔버의 메인 몸체 상부에 결합하여 밀봉한다. 전달 챔버는 전달 챔버의 메인 몸체의 바닥 부분에 결합하여 밀봉하기 위하여 제공된 돔 모양 바닥을 또한 가진다.

Description

진공 처리 시스템용 전달 챔버{TRANSFER CHAMBER FOR VACUUM PROCESSING SYSTEM}
종래 평판 디스플레이들 또는 반도체 장치들을 제조하기 위한 종래 기술들은 유리판 또는 실리콘 웨이퍼 같은 기판에 일련의 처리들을 적용하는 것을 포함한다. 적용된 기술은 열처리, 물리 기상 증착(PVD), 화학 기상 증착(CVD), 에칭 등을 포함할 수 있다. 통상적으로, 상기 시퀀스의 처리들에서 각각의 처리들은 각각의 처리 챔버에서 수행된다. 따라서, 처리들이 수행되는 기판들은 하나의 처리 챔버에서 다른 처리 챔버로 전달되어야 한다.
또한 단일 처리 툴로 다수의 다른 처리 챔버들을 통합하는 것도 일반적이고, 상기 처리 챔버들은 중심 전달 챔버의 주변 둘레에 결합된다. 도 1은 종래 처리툴(11)의 다소 개략적인 수직 단면도이다. 처리 툴(11)은 중앙에 배치된 전달 챔버(13)를 포함한다. 로드 록 챔버(15) 및 처리 챔버(17)는 전달 챔버(13)의 각각의 측면들에 결합된다. 도시되지 않은 하나 이상의 부가적인 처리 챔버들 및/또는 로드 록 챔버들은 전달 챔버(13)의 각각의 측면들에 결합될 수 있다. 로드 록 챔버(15)는 처리 툴(11)의 외측에서 처리 툴(11) 내측으로 기판의 도입을 수용하도록 제공된다.
전달 챔버(13)는 측벽들(21)(도 1에는 단지 두개만이 도시된다)을 가진 메인 몸체(19)를 포함한다. 각각의 측벽(21)은 거기에 결합된 로드 록 또는 처리 챔버를 가지도록 제공될 수 있다. 또한 전달 챔버(13)는 메인 몸체(19)상에 지지된 상부(23)를 포함한다. 뚜껑(lid)(25)은 전달 챔버(13)의 상부(23)를 이음새없이 밀폐하기 위하여 제공된다.
전달 챔버(13)의 하단부는 실질적으로 환형 바닥(27)에 의해 밀폐된다. 전달 챔버(13)의 바닥(27)은 전달 챔버(13)에서 기판 조종 로버트(31)의 설치를 수용하는 중앙 구멍(29)을 가진다. 기판 조종 로버트(31)는 전달 챔버(13)에 결합된 처리 챔버들(17) 및 상기 로드 록 챔버 또는 챔버들(15) 사이에서 기판을 전달하기 위하여 제공된다.
처리 툴(11)에서 처리된 기판들의 오염 가능성을 최소화하기 위하여, 전달 챔버(13)의 내부에 진공을 유지하는 것이 일반적이다. 그래서, 처리 툴(11)은 진공 처리 시스템이라 한다. 도시되지 않은 펌핑 시스템은 전달 챔버(13)에 결합되어 전달 챔버(13)를 적당한 진공도로 펌핑한다.
또한 도 1에는 처리 챔버(17)와 연결된 슬릿 밸브(35)를 선택적으로 개방하고 밀폐하는 작동기(33)가 도시된다. 슬릿 밸브(35)가 개방 위치(도시되지 않음)에 있을때, 기판은 처리 챔버(17)로 도입되거나 처리 챔버로부터 배출된다. 슬릿 밸브(35)가 도 1에 도시된 밀폐 위치에 있을때, 처리 챔버(17)는 전달 챔버(13)로부터 격리되어, 제조 처리는 처리 챔버(17)내의 기판상에서 수행될 수 있다.
처리 툴들, 및 특히 전달 챔버 부분들은 다양한 크기로 제조된다. 몇몇 경우 전달 챔버(13)가 매우 큰 것이 필요하고 바람직하다. 예를들어, 평판 디스플레이들을 제조하기 위해 사용되는 처리 툴에서, 현재 처리되는 유리판 기판들은 측면당 약 0.5 내지 1.5 미터들의 범위이고, 가까운 미래에 측면당 2-3 미터들에 도달할 수 있다. 따라서, 매우 큰 전달 챔버는 상기 애플리케이션들을 위해 요구된다. 게다가, 처리 툴에 포함되는 처리 챔버들 및/또는 로드 록들의 수를 증가시키는 것이 바람직하고, 또한 큰 전달 챔버를 요구할 수 있다. 그러나, 전달 챔버의 크기를 증가시키는 것은 전달 챔버의 바닥 같은 구성요소들에 진공으로 인한 스트레스들을 증가시킨다. 상기 스트레스들을 수용하기 위하여, 전달 챔버의 바닥 두께는 증가된 강도를 제공하도록 증가될 수 있다. 그러나, 전달 챔버 바닥의 두께 증가는 보다 큰 무게, 제조 어려움 증가 및 비용 증가를 유발한다.
본 발명은 2002년 6월 1일 출원되고 발명의 명칭이 "진공 처리 시스템용 전달 챔버"인 미국예비특허출원 60/390,629 및 2002년 6월 28일 출원되고 발명의 명칭이 "진공 처리 시스템용 전달 챔버"인 미국 예비특허출원 60/392,578을 우선권 주장하고, 그 전체는 여기에 참조로써 통합되었다.
본 발명은 일반적으로 처리 장치에 사용되는 처리 시스템들에 관한 것이고, 특히 상기 시스템을 사용하기 위한 전달 챔버에 관한 것이다.
도 1은 종래 진공 처리 시스템의 수직 단면도이다.
도 2는 본 발명의 제 1 실시예에 따라 제공된 진공 처리 시스템의 수직 단면도이다.
도 3은 도 2의 본 발명의 진공 처리 시스템의 일부인 전달 챔버의 전개도이다.
도 4는 도 2 및 3의 전달 챔버의 예시적인 실시예의 간략화된 개략 측면도이다.
도 5는 본 발명의 다른 실시예에 따라 제공된 진공 처리 시스템의 개략적인 수직 단면도이다.
본 발명의 제 1 측면에 따라, 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버에 결합하도록 제공된 측벽들을 가진 메인 몸체를 포함하는 전달 챔버를 제공한다. 메인 몸체는 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버사이에서 기판을 전달하기 위하여 제공된 적어도 하나의 로보트 부분을 하우징하도록 제공된다. 본 발명의 전달 챔버는 또한 전달 챔버의 메인 몸체 상부 부분에 결합하고 상기 상부 부분을 밀봉하도록 제공된 뚜껑을 포함한다. 본 발명의 전달 챔버는 전달 챔버의 메인 몸체의 바닥 부분에 결합하고 밀봉하기 위해 제공된 돔 모양 바닥을 더 포함한다.
본 발명의 제 2 측면에 따라, 진공 처리 시스템은 본 발명의 제 1 측면과 관련하여 상기된 바와같이 전달 챔버를 포함한다. 본 발명의 진공 처리 시스템은 전달 챔버의 메인 몸체에 결합된 적어도 하나의 처리 챔버 및 전달 챔버의 메인 몸체에 결합된 적어도 하나의 로드 록 챔버를 포함한다. 본 발명의 진공 처리 시스템은 돔 모양 바닥을 통하여 전달 챔버로 적어도 부분적으로 연장하는 로보트를 더 포함한다. 상기 로보트는 전달 챔버를 통하여 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버 사이에서 기판을 전달하기 위하여 제공된다.
본 발명의 제 3 측면에 따라, 전달 챔버에 대한 돔 모양 바닥을 형성하는 방법이 제공된다. 전달 챔버는 적어도 하나의 로드 록 챔버를 적어도 하나의 처리 챔버에 결합하도록 제공된다. 상기 방법은 하나의 재료를 선택하고 상기 재료로 돔 모양 바닥을 형성하는 것을 포함한다. 돔 모양 바닥은 전달 챔버의 메인 몸체의 바닥 부분과 밀봉을 형성하도록 부착되고 구성된 크기인 외부 직경을 가진다. 돔 몸양 바닥은 또한 적어도 하나의 로보트 일부를 수용하기 위한 크기의 직경을 가진 구멍을 가진다. 로보트는 상기 전달 챔버에 결합된 적어도 하나의 로드 록 챔버와 적어도 하나의 처리 챔버 사이에서 기판들을 전달하도록 제공된다.
본 발명에 따라 제공된 전달 챔버 바닥이 돔 모양 구조를 가지기 때문에, 본 발명의 전달 챔버 바닥은 편평한 구조를 가진 동일한 두께의 전달 챔버 바닥보다 큰 강도를 나타낸다. 따라서, 본 발명의 전달 챔버 바닥은 유사한 크기의 종래 편평한 전달 챔버들 바닥보다 얇게 만들어질 수 있어서, 비용 및 무게를 절약할 수 있다.
본 발명의 다른 특징들 및 장점들은 예시적인 실시예들, 첨부된 청구항들 및 첨부 도면들의 다음 상세한 설명으로부터 명백하게 나타날 것이다.
본 발명에 따라, 전달 챔버의 바닥은 돔 모양 구조로 제공되어, 종래 바닥 두께에 비해 우수한 강도를 달성하고 전달 챔버의 내부 체적을 감소시킨다. 결과적으로, 전달 챔버의 바닥은 종래 전달 챔버 바닥들에 비해 얇게 만들어질 수 있어서, 비용 및 무게가 절약된다. 전달 챔버의 감소된 내부 체적은 진공 시간을 감소시킬 수 있어서, 생산량을 증가시킨다.
본 발명의 일실시예는 도 2 및 도 3을 참조하여 기술될 것이다.
도 2는 도 1과 유사한 본 발명의 일실시예에 따라 제공된 처리 툴(진공 처리 시스템)(201)의 도면이다. 본 발명의 처리 툴(201)은 새로운 전달 챔버(203)를 포함한다. 종래 로드 록 챔버(15)(예를들어 이중 듀얼 슬롯 로드 록(DDSL) 또는 다른 종래 로드 록) 및 종래 처리 챔버(17)가 본 발명의 전달 챔버(203)에 결합된 것이 도시된다. 하나 이상의 부가적인 처리 챔버들 및/또는 로드록 챔버들은 비록 도면들에 도시되지 않았지만 전달 챔버(203)에 결합될 수 있다는 것이 이해될 것이다. 기판 조종 로보트(205)는 전달 챔버(203)내에 배치된다. 도 1의 종래 시스템과 같이, 펌핑 시스템(도시되지 않음)은 적당한 진공도로 전달 챔버(203)를 펌핑 다운하기 위하여 본 발명의 전달 챔버(203)에 결합될 수 있다. 편리성을 위해, 본 발명의 전달 챔버(203)는 도 2 및 도 3(본 발명의 전달 챔버 203의 전개도) 양쪽을 참조하여 기술될 것이다.
도 2 및 도 3을 참조하여, 전달 챔버(203)는 예를들어 알루미늄 같은 단일 재료 부분으로 가공될 수 있는 메인 몸체(207)를 포함한다. 다른 재료들은 사용될 수 있다. 이하에 추가로 기술되는 바와같이, 본 발명의 적어도 하나의 일실시예에서, 메인 몸체(207)(HmB)의 높이는 전달 챔버(207)의 전체 체적 및 무게를 감소시키기 위하여 최소화된다. 메인 몸체(207)는 처리 챔버들 또는 로드 록 챔버들에 결합하도록 제공된 측벽들(215)(도 2)을 형성하는 편평한 영역들(213)을 가진 원통형 내부 벽(209) 및 외부 벽(211)을 가질 수 있다. 적어도 하나의 실시예에서, 각각의 측벽(215)(도 2)은 예를들어 가장 얇은 포인트(예를들어, 각각의 편평한 영역 213의 중심)에서 약 2 인치 두께를 가질 수 있다. 다른 측벽 두께들은 사용될 수 있다. 각각의 측벽(215)은 하나 이상의 각각의 슬릿들(217)을 포함할 수 있고(도 3), 상기 슬릿을 통해 기판(도시되지 않음)은 기판 조종 로보트(205)에 의해 전달 챔버(203)로부터 처리 챔버(17)로 전달될 수 있거나, 그 반대로 전달될 수 있다. 슬릿 밸브(도 2 및 도 3에 도시되지 않음)는 각각의 슬릿(217)을 선택적으로 개방 및 밀폐하기 위하여 각각의 슬릿(217)과 연관될 수 있다. 도 2 및 도 3의 실시예에서, 전달 챔버(203)는 두개의 다른 높이들에서 로드 록 챔버(15) 안팎으로 기판들이 로딩되게 하는 두개의 슬릿들(217a, 217b)을 포함한다. 슬릿들(217a, 217b)은 예를들어 종래 게이트 밸브들(219a, 219b)(도 2)을 통해 밀봉될 수 있다.
비록 도 2 또는 3에 도시되지 않았지만, 전달 챔버(203)는 슬릿들(217)(예를들어, 종래 45 도 타입 슬릿 밸브)을 밀봉 또는 개방하기 위하여 도 1의 슬릿 밸브 작동기(33) 같은 전달 챔버(203) 내부의 슬릿 밸브 작동기들을 사용할 수 있다. 선택적으로, 적어도 하나의 실시예에서, 종래의 게이트 밸브들(예를들어, 수직으로 이동하는 외부 게이트 밸브 221(도 2))은 슬릿들(217)을 밀봉 및 개방하기 위하여 전달 챔버(203) 외부에 배치될 수 있다. 상기 구조는 전달 챔버(203)의 돔 모양 바닥(하기됨)의 설계를 간략화할 수 있다.
도 3을 다시 참조하여, 본 발명의 전달 챔버(203)는 환형인 상부 부재(223)를 포함할 수 있고, 상기 상부 부재는 제 1 O 링(225)을 통해 메인 몸체(207)의 상부 부분에 밀봉되게 접합되도록 제공된다. 본 발명의 전달 챔버(203)는 제 2 O 링(231)을 통하여 상부 부재(223)의 구멍(229)을 밀봉되게 밀폐하기 위하여 제공된 뚜껑(227)을 포함할 수 있다. 다른 밀봉 메카니즘들은 전달 챔버(203)의 상부를 밀봉하기 위한 다른 구조들로서 사용될 수 있다.
본 발명에 따라, 전달 챔버(203)는 도 2에 가장 잘 도시된 바와같이 돔 모양 구조를 가진 바닥 부재(233)를 포함한다. 도 2에서 관찰된 바와같이, 바닥 부재(233)는 전달 챔버(203)의 뚜껑(227) 및 바닥 부재(233)의 중앙 부분이 상기 뚜껑(227) 및 바닥 부재(233)의 외부 에지 사이의 수직 거리보다 크도록 오목 구조를 가진다. 본 발명의 일실시예에서, 바닥 부재(233)는 스테인레스 스틸 같은 단일 재료 부분으로 가공될 수 있다. 다른 재료들 및/또는 구조들은 사용될 수 있다. 바닥 부재(233)를 제조하기 위하여 사용될 수 있는 기술들은 2000년 3월 10일 출원되고 발명의 명칭이 "구성요소들을 제조하기 위한 진공 처리 시스템"(위임 도킷 번호 2801)인 공동계류중인 미국특허 09/523,366에 개시되고, 여기에 참조로써 통합된다. 상기 기술들은 예를들어 스피닝, 롤링 및/또는 그와 유사한 기술들을 포함할 수 있다.
일실시예에서, 바닥 부재(233)는 유사한 크기(예를들어, 약 2.6 미터의 외부 직경을 가짐)의 편평한 구조를 가진 3인치 두께의 종래 바닥 부재들과 비교하여 약 0.5 내지 0.625 인치(예를들어, 돔 모양 영역에서)의 두께를 가질 수 있다. 다른두께들은 사용될 수 있다.
도 3을 다시 참조하여, 바닥 부재(233)는 바닥 부재(233) 및 제 3 O 링(237)의 외부 에지(235)를 통해 메인 몸체(207)의 바닥 부분에 결합하고 밀봉하기 위하여 제공된다. 바닥 부재(233)는 일반적으로 원형 중심 구멍(239)을 가진다. 외부 에지(235) 및 중앙 구멍(239)은 바닥 부재(233)(예를들어, 상기된 실시예에서 약 2인치 사각형)의 나머지보다 두꺼울 수 있고 돔 모양 영역(예를들어, 용접을 통해)에 분리되게 형성되고 부착될 수 있다. 다양한 개구부들 및/또는 표면 피쳐들(241)은 센서들, 진공 포트들, 가스 포트들 등을 수용하기 위하여 제공될 수 있다. 환형 플러그 부재(243)는 제 4 O 링(245)을 통해 바닥 부재(233)의 중앙 구멍(239)을 밀봉하기 위하여 제공된다. 플러그 부재(243)는 플러그 부재(243)의 중앙 구멍(247) 및 바닥 부재(233)의 중앙 구멍(239)를 통하여 연장하는 기판 조종 로보트(205)(도 2)의 일부를 밀봉 가능하게 수용하도록 하는 크기의 중앙 구멍(247)을 가진다. 제 4 O 링(249)(도 3)은 로보트(205) 둘레의 플러그 부재(243)의 중앙 구멍(247)을 밀봉한다. 다른 밀봉 메카니즘들은 O 링들(237, 245 및 249) 대신 또는 상기 O 링들에 부가하여 사용될 수 있다.
본 발명은 바닥이 돔 모양 구조를 가진 전달 챔버를 제공한다. 결과적으로, 전달 챔버의 주어진 크기에 대하여, 돔 모양 바닥은 종래 편평한 바닥보다 얇은 재료로 형성될 수 있다. 결과적으로, 전달 챔버 바닥의 비용 및 무게는 감소될 수 있다. 이것은 평판 디스플레이들의 제조를 위해 유리판들을 처리하는 처리 툴들이 사용되는 형태의 매우 큰 전달 챔버들의 경우에 특히 중요하다. 상기 설계는 전달챔버 아래 사용되지 않은 공간을 사용할 수 있고 오버헤드 높이 제한들(예를들어, 오버헤드 공장 전송 시스템들, 천장 높이들 등으로 인해)을 제한하지 않는다.
평판 산업이 계속 성장하기 때문에, 전달 챔버(전달 챔버 203 같은)내에 전달된 유리판들의 크기는 계속 증가하고 있다. 현재 유리판 크기들은 측면당 약 0.5 내지 1.5 미터의 범위이다. 그러나, 보다 큰 유리 기판들은 개발되었다(예를들어, 측면당 약 2-3 미터). 유리 판 크기의 증가는 전달 챔버들의 직경을 증가시키는 것을 요구한다(그리고 보다 큰 기판을 처리하기 위하여 보다 큰 로드 록 및 처리 챔버들들을 요구한다). 가까운 미래에, 전달 챔버(예를들어, 도 3의 편평한 영역들 213)의 편평한 영역들은 유사한 크기의 유리 기판들을 수용하기 위하여 약 2-4 미터 이상의 직경에 도달할 수 있다. 전달, 로드 록 및 처리 챔버 크기들이 증가하기 때문에, 다수의 요소들은 로보트 크기, 전달 챔버 체적, 전달 챔버 아래의 이용할 수 있는 공간, 전달 챔버내에 형성된 진공력들, 전달 챔버의 무게, 전달 챔버의 비용 등 같은 전달 챔버 설계 동안 고려되어야 한다.
도 4는 도 2 및 3의 전달 챔버(203)의 예시적인 실시예의 간략화된 측면도이다. 도 4에서, 전달 챔버(203)는 적어도 하나의 로드 록 챔버(401) 및 적어도 하나의 처리 챔버(403)에 결합되고 상기된 바와같이 메인 몸체(207)를 포함한다. 간략화를 위하여, 전달 챔버(203)에 대한 지지 구조, 및 로드 록 및 처리 챔버들(401, 403)은 도 4에 도시되지 않는다.
전달 챔버(203) 설계와 관련된 하나의 파라미터는 돔 모양 바닥(233)을 수용하기 위하여 전달 챔버(203) 아래에 이용할 수 있는 공간이 있다. 도 4를 참조하여, 전달 챔버(203)는 기판이 전달 챔버(203)내에 전달될 수 있는 최소 높이를 나타내는 최소 전달 높이(HTR)를 가진다. 최소 전달 높이(HTR)는 산업 표준, 또는 전달 챔버(203)를 사용하는 제조 설비 요구사항일 수 있다. 도 4의 실시예에서, 최소 전달 높이(HTR)는 전달 챔버(203)가 배치되는 설비(도시되지 않음)의 플로우(405)에 관련하여 정의된다. 플로우(405)는 예를들어 세척 룸의 플로우, 상승된 플로우 또는 상기 전달 챔버(203) 아래에 배치된 공간/영역/높이상 임의의 다른 하부 제한일 수 있다.
최소 전달 높이(HTR)는 전달 챔버(203)가 플로우(405)상에 배치되는 높이(HF)를 설정한다. 돔 모양 바닥(233)을 수용하기 위하여 사용될 수 있는 전달 챔버(203) 아래의 체적은 전달 챔버(203)(DMB)의 메인 몸체(207) 내부 직경과 같은 직경 및 플로우(405)(HF)상 전달 챔버(203) 높이와 동일한 높이의 대략적으로 원통형 체적이다.
도 4에 도시된 바와같이, 전달 챔버(203)의 돔 모양 바닥(233)은 높이(HD1)를 가진 원통형 영역(233a) 및 높이(HD2)를 가진 돔 모양 영역(233b)을 포함한다. 따라서, 돔 모양 바닥(233)의 체적은 원통형 영역(233a)의 체적 플러스 돔 모양 영역(233b)의 체적과 거의 같다.
종종 전달 챔버(203)가 가능한한 작은 체적을 가지는 것이 바람직하다(예를들어, 펌핑 다운 시간을 줄여서 생산량을 증가시키기 위해). 돔 모양 구조가 체적측면에서 원통형 구조(동일한 미리 설정된 공간 영역내의) 보다 작은 체적을 형성하기 때문에, 돔 모양인 전달 챔버(203)의 부분을 최대화하는 것이 바람직할 수 있다(예를들어, 돔 모양 바닥 233의 원통형 영역 233a의 높이와 메인 몸체 207의 높이 HMB모두를 줄이면서, 돔 모양 바닥(233)의 돔 모양 영역(233b)을 보다 크게 만듬). 그러나, 다른 요소들은 돔 모양 바닥(233)의 원통형 영역(233a)의 높이(HD1) 및 메인 몸체(207)의 높이(HMB) 선택에 영향을 준다. 예를들어, 전달 챔버(203)의 메인 몸체(207)의 높이(HMB)는 상기 메인 몸체(207)에 결합된 임의의 로드 록 챔버 및/또는 처리 챔버를 수용하기에 충분하여야 한다. 본 발명의 적어도 하나의 실시예에서, 메인 몸체(207)의 높이(HMB)는 예를들어 로드 록 챔버(401)와 접하는 슬릿 개구부들(217a, 217b)을 수용하기 위하여 요구된 최소 높이를 바탕으로 설정될 수 있다.
돔 모양 바닥(233)의 원통형 영역(233A)의 높이(HD1)와 관련하여, 높이(HD1)는 기판 전달 로보트(205)(도 2)의 높이를 수용하기에 충분하여야 한다. 도 2에 도시된 바와같이, 기판 전달 로보트(205)는 제 1 아암(205a) 및 제 2 아암(205b)을 포함한다. 기판 전달 로보트(205)가 전달 챔버(203)의 메인 몸체(207)의 개구부(217b)를 통해, 최소 전달 높이(HTR) 근처의 높이로 기판을 전달하기 위하여 배치될 때, 돔 모양 바닥(233)의 원통형 영역(233a)의 높이(HD1)는 로보트(205)의 제 2 아암(205)이 돔 모양 바닥(233)의 돔 모양 영역(233b) 내부로 연장하는 것을 방지하기에 충분히 커야 한다(로보트 205가 도 2에 도시된 바와같이 수축된 위치에 있던지 도 1의 로보트 31에 의해 도시된 바와같이 연장된 위치에 있던지). 만약 제 2 아암(205b)이 돔 모양 바닥(233)의 돔 모양 영역(233b)으로 연장하는 것을 방지하도록 돔 모양 바닥(233)의 원통형 영역(233b)이 충분히 크지 않으면, 돔 모양 영역(233b)은 제 2 아암(205b)의 동작과 접촉하여 상기 동작을 방해한다. 유리 기판 크기 및 전달 챔버 크기가 증가할때, 각각의 로보트 아암(205a, 205b)의 경도(및 따라서 두께)는 증가한다. 돔 모양 바닥(233) 원통형 영역(233b) 높이(HD1)의 대응하는 증가는 로보트 아암 크기 증가를 보상하기 위하여 사용될 수 있다.
전달 챔버(203)의 설계에 영향을 줄수있는 다른 요소는 돔 모양 바닥(233)에 요구된 강도이다. 전달 챔버 크기가 증가하기 때문에, 전달 챔버(203)가 진공될때 돔 모양 바닥(233)상에 힘이 가해진다. 진공력들은 돔 모양 바닥(233)의 외부 에지(235)에서 가장 크고; 돔 모양 바닥(233)은 돔 모양 바닥(233)이 전달 챔버(203) 및/또는 로보트(205)(도 2)의 메인 몸체(207)와 관련하여 밀봉하도록 하는 능력에 영향을 미칠 수 있는 진공에 의한 편향들에 저항하기에 충분히 강하여야 한다.
강도 관점에서, 돔 모양 바닥(233)에 대한 구형 구조는 바람직하다(도 4에서 돔 모양 바닥 233'에 의해 도시됨). 상기 구조는 메인 몸체(207') 직경의 1/2의 곡률(RD1') 반경을 사용함으로써 달성될 수 있다. 그러나, 도 4에 도시된 바와같이, 돔 모양 바닥(233)에 대한 구형 구조는 전달 챔버(203)(에를들어, 플로우 405 또는 다른 공간 제한으로 방해받을 수 있음) 아래에 보다 많은 공간을 요구하고 큰체적을 가진 전달 챔버를 유발한다. 돔 모양 바닥(233)의 공간/체적 요구들을 감소시키기 위하여, 보다 큰 곡률(RD1) 반경은 돔 모양 바닥(233)의 돔 모양 영역(233A)의 제 1 부분(407)에 사용될 수 있다. 적어도 하나의 실시예에서, 돔 모양 바닥(233)의 제 1 부분(407)의 곡률(RD1) 반경은 전달 챔버(203)의 메인 몸체(207)의 직경(DMB)의 1/2 이상이다. 하나의 특히 바람직한 실시예에서, 곡률(RD1) 반경은 전달 챔버(203)의 메인 몸체(207)의 직경(DMB)의 약 1.5 배이다. 다른 값들은 사용될 수 있다. 곡률(RD1) 반경의 선택은 전달 챔버(203) 아래에서 이용할 수 있는 공간, 돔 모양 바닥(233)에 사용된 재료의 강도 등 같은 많은 요소들에 따른다.
돔 모양 바닥(233)의 제 1 부분(407)의 곡률 반경(RD1)이 전달 챔버(203) 메인 몸체(207)의 직경(DMB)의 1/2 보다 클때, 돔 모양 바닥(233)은 곡률 반경(RD2)을 가진 제 2 반경부(409)를 구비할 수 있다. 이런 부가적인 곡률 반경은 돔 모양 바닥(233)의 제 1 부분(407)의 곡률 반경(RD1) 및 메인 몸체(207) 반경(DMB의 1/2) 사이의 미스 매칭을 보상한다. 본 발명의 적어도 하나의 실시예에서, 제 2 부분(409)의 곡률 반경(RD2)은 돔 모양 바닥(233)(가장 얇은 부분들에서) 두께의 대략 5-20배이다.
상기를 바탕으로 그리고 본 발명에 따라, 전달 챔버(203) 및/또는 돔 모양 바닥(233)은 다음과 같이 설계될 수 있다.
(1) 돔 모양 바닥(233)에 이용할 수 있는 전달 챔버(203) 아래 공간을 결정한다(예를들어, 플로우 405 같은 임의의 방해 구조상에 전달 챔버의 최소 전달 높이 HTR및/또는 높이 HF를 바탕으로 함),
(2) 돔 모양 바닥(233)의 제 1 부분(407)에 대한 곡률 반경(RD1)을 결정한다(예를들어, 플로우 405상 전달 챔버 203의 높이 HF, 전달 챔버 203의 목표된 전체 크기, 폭 및 높이 같은 로보트 205의 크기들, 허용되는 돔 모양 바닥 233의 편향 량, 전달 챔버 203내에 사용될 진공 레벨들 등을 바탕으로 함),
(3) 돔 모양 바닥(233)의 두께를 결정한다(예를들어, 돔 모양 바닥 233의 제 1 부분 407에 대한 곡률 반경 RD1, 재료 강도, 허용할 수 있는 돔 모양 바닥 233의 편향량, 전달 챔버 203내에 사용될 진공 레벨들 등을 바탕으로 함),
(4) 전달 챔버 203의 메인 몸체 207의 높이 HMB를 결정한다(예를들어, 메인 몸체 207에 결합될 로드 록 및/또는 처리 챔버들의 크기, 메인 몸체 207에 결합될 로드 록 및/또는 처리 챔버들과인터페이스하기 위하여 사용된 슬릿 개구부들을 수용하기 위하여 요구된 높이 등을 바탕으로 함),
(5) 돔 모양 바닥(233)의 원통형 영역(233a)의 높이(HD1) 결정(예를들어, 제 2 아암 205b의 두께 같은 로보트 205의 크기, 전달 챔버 203의 최소 전달 높이 HTR, 로보트 205의 제 2 아암 205b 및 이펙터 205c(도 2) 등을 바탕으로 함), 및/또는
(6) 돔 모양 바닥(233)의 제 2 부분(409)에 대한 곡률 반경(RD2)을 결정한다(예를들어, 돔 모양 바닥 233의 제 1 부분 407의 곡률 반경 RD1, 돔 모양 바닥 233의 원통형 영역 233a의 높이 HD1등).
상기 요소들중 임의의 것은 하나 이상의 전달 챔버(203) 및/또는 돔 모양 바닥(233)을 설계하기 위하여 단독으로 또는 결합하여, 그리고 임의의 순서로 사용될 수 있다. 다른 요소들은 로보트(205)를 수용하기 위하여 요구된 구멍의 직경(DMB), 돔 모양 바닥 강도상 구멍의 효과, 또는 기타 등등 같은 전달 챔버(203) 및/또는 돔 모양 바닥(233)의 설계 동안 고려될 수 있다.
본 발명의 하나의 예시적인 실시예에서, 전달 챔버(203)는 다음과 같이 고려된다.
(1) 메인 몸체(207)의 직경(DMB)은 약 2.6 미터이다,
(2) 메인 몸체(207)의 높이(HMB)는 약 0.8 미터이다,
(3) 돔 모양 바닥(233)의 원통형 영역(233a)의 높이(HD1)는 약 6 인치이다,
(4) 돔 모양 바닥(233)의 돔 모양 영역(233b)의 높이(HD2)는 약 12 인치이다,
(5) 돔 모양 바닥(233)의 원통형 및 돔 모양 영역(233a, 233b)의 두께는 약 0.5-0.625 인치이다,
(6) 돔 모양 바닥(233)의 반경 부분(407)의 곡률 반경(RD1)은 메인 몸체(207) 직경의 약 1.5 배이다,
(7) 돔 모양 바닥(233)의 반경 부분(409)의 곡률 반경(RD2)은 돔 모양 영역(233b)의 두께의 약 5-20 배이다, 및
(8) 메인 몸체(207)의 두께는 약 2 인치이다(가장 얇은 부분에서).
다른 전달 챔버 구조는 사용될 수 있다.
도 2를 다시 참조하여, 전달 챔버(203) 및/또는 로보트(205)에 대한 예시적인 지지 구조(241)는 도시된다. 상기 지지 구조는 예를들어 하나 이상의 적당한 크기의 받침대 다리들(243), 교차 부재들(245) 및/또는 브레이스(brace)(247)를 포함한다. 일반적으로, 전달 챔버(203) 또는 로보트(205)를 지지하기 위한 임의의 메카니즘은 사용될 수 있다. 로드 록 챔버(15)는 예를들어 세척 룸 벽(249) 및/또는 전달 챔버(205)를 통해 지지될 수 있고, 처리 챔버(17)는 예를들어 하나 이상의 받침대들(251) 및/또는 교차 부재들(253)에 의해 지지될 수 있다. 다른 지지 구조들은 사용될 수 있다.
본 발명의 적어도 하나의 실시예에서, 지지 구조(241)는 돔 모양 바닥(233)을 직접적으로 지지하지 않고 전달 챔버(203)의 메인 몸체(207) 및 로보트(205)를 지지하기 위하여 제공된다. 예를들어, 받침대들(243)은 돔 모양 바닥(233)과 접촉하지 않고 메인 몸체(207)의 편평한 영역들(213)(도 3)과 인터페이스할 수 있고, 교차 부재들(245) 및 브레이스들(247)은 돔 모양 바닥(233)(도시된 바와같이)과 접촉하지 않고 로보트(205)의 메인 트렁크(255)를 지지할 수 있다. 돔 모양 바닥(233)은 메인 몸체(207)에 의해 지지될 수 있다(예를들어, 볼트들 또는 다른 고정메카니즘들을 통해 메인 몸체에 매담, 도시되지 않음).
상기된 실시예에서, 돔 모양 바닥(233)은 메인 몸체(207) 및 로보트(205)(메인 몸체 207 및 로보트 205에 관련하여 "플로팅됨")의 지지 구조(241)로부터 절연된다. 게다가 본 발명의 상기 실시예에 따라, 종래 벨로우즈 밀봉(도시되지 않음)은 돔 모양 바닥(233)이 그 사이에 형성된 진공 밀봉을 파괴하지 않고(도 3의 O 링들(245, 249)을 통해) 로보트(205)에 관련하여 수직으로 이동시키도록 하기 위해 돔 모양 바닥(233) 및 로보트(205) 사이에 사용될 수 있다. 이런 방식으로, 돔 모양 바닥(233)은 전달 챔버(203)의 진공 및 배기 동안 편향되지 않고, 설계 제한들(예를들어, 재료 두께, 강도 등 측면에서)은 돔 모양 바닥의 설계시 거의 처해지지 않는다. 게다가, 돔 모양 바닥(233)의 편향들은 로보트(205)의 위치 및/또는 캘리브레이션에 영향을 받지 않는다.
도 5는 본 발명의 다른 실시예에 따라 제공된 처리 툴(501)의 개략적인 수직 단면도이다. 다른 본 발명의 처리 툴(501)은 도 4의 처리 툴(501)이 돔 모양 구조로 제공된 뚜껑(527)(도 2 및 도 3에 도시된 편평한 전달 챔버 뚜껑 227을 사용하는 대신)을 가진 전달 챔버(503)를 가질 수 있는 것을 제외하고 도 2에 도시된 본 발명의 처리 툴(201)과 모든 측면들에서 동일할 수 있다. 돔 모양 구조를 가진 전달 챔버 뚜껑은 상기된 특허 출원 일련번호 09/523,366(위임 도킷 번호 2801)에 개시된다.
다음 설명은 본 발명의 예시적인 실시예들만을 개시하고, 본 발명의 범위내에 속하는 상기 개시된 장치의 변형들은 당업자에게 보다 명백할 것이다. 예를들어, 비록 본 발명의 돔 모양 전달 챔버 바닥이 오목 구조를 가지는 것으로 상기되었지만, 돔 모양 전달 챔버 바닥은 선택적으로 볼록 구조를 가질 수 있다(즉, 돔 모양 바닥의 중앙 부분 및 전달 챔버의 뚜껑 사이의 수직 거리는 돔 모양 바닥의 외부 에지와 전달 챔버의 뚜껑 사이의 수직 거리 미만이다). 여기에 사용된 바와같이, "돔 모양" 바닥 또는 뚜껑은 외부 부분, 돔 모양 또는 곡선 같은 부분만을 가질 필요가 있다. 바닥 또는 뚜껑의 나머지는 다른 모양들이고 및/또는 편평할 수 있다는 것이 가정된다. 상기 돔 모양 바닥(또는 뚜껑) 설계는 하나 이상의 전달 챔버 높이 또는 폭, 전달 챔버, 또는 기타 등등 아래에서 이용할 수 있는 높이 또는 폭을 바탕으로 할 수 있다.
게다가, 만약 도 5의 실시예에 도시된 바와같이 돔 모양 뚜껑이 사용되는 것이 인식되면, 뚜껑의 구조는 도 5에 도시된 오목 뚜껑 구조보다 오히려 볼록할 수 있다(상기된 미국특허 출원 09/523,366에 개시됨).
비록 본 발명이 처리 유리판들에 사용된 형태의 큰 전달 챔버에 적용될때 특히 바람직하지만, 본 발명은 실리콘 웨이퍼들을 처리하기 위하여 사용된 것을 포함하는 다른 형태의 처리 툴들에 적용할 수 있다. 본 발명은 임의의 수의 처리 챔버들 및 임의의 수의 로드 록 챔버들을 결합하기 위하여 제공된 전달 챔버들에 응용할 수 있다.
도 3에 도시된 적어도 몇몇의 전달 챔버는 다른 구성요소들과 결합될 수 있다는 것이 이해되어야 한다. 예를들어, 뚜껑(227) 및 상부 부재(223)는 전달 챔버(203)의 메인 몸체(207) 상부에 밀봉가능하게 밀봉할 수 있는 단일 부분을 형성하기 위하여 결합될 수 있다. 따라서, 첨부된 청구항들에 사용된 바와같이, 용어 "뚜껑"은 전달 챔버의 상부를 밀봉하는 하나, 두개 또는 그 이상의 부분들을 포함하는 것으로 이해되어야 한다. 또한 도 3의 단일 부분으로서 도시된 본 발명의 전달 챔버의 구성요소들이 두개 또는 그 이상의 부분들에 의해 구성될 수 있다는 것이 고려된다.
다른 대안으로서, 바닥 부재(233) 및 플러그 부재(243)는 기판 조종 로보트 둘레를 밀봉하는 단일 일체부를 형성하기 위하여 결합될 수 있다.
본 발명의 전달 챔버는 "개구리 다리" 스타일 로보트를 포함하는 임의의 형태의 기판 조종 로보트를 수용하도록 배열될 수 있다.
만약 전달 챔버의 바닥이 전달 챔버의 메인 몸체의 무게 및/또는 전달 챔버에 결합된 각각의 로드 록 및 처리 챔버의 무게의 일부를 지지하기 위하여 사용되면(예를들어, 만약 돔 모양 바닥이 메인 몸체에 관련하여 플로팅이지 않으면), 돔 모양 바닥의 설계는 이루어질 수 있다(예를들어, 바닥의 돔 모양 부분의 높이, 바닥의 돔 모양 부분의 반경, 재료 두께 등).
따라서, 본 발명이 예시적인 실시예들과 관련하여 개시되었지만, 다음 청구항들에 의해 정의된 바와같이 다른 실시예들이 본 발명의 사상 및 범위내에 속할 수 있다는 것이 이해되어야 한다.

Claims (29)

  1. 전달 챔버로서,
    적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버에 결합하고 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버 사이에서 기판을 전달하기 위하여 제공된 적어도 일부의 로보트를 하우징하기 위하여 제공된 측벽들을 가진 메인 몸체;
    전달 챔버의 메인 몸체의 상부 부분에 결합하여 밀봉하도록 제공된 뚜껑; 및
    전달 챔버의 메인 몸체의 바닥 부분에 결합하여 밀봉하도록 제공된 돔 모양 바닥을 포함하는 전달 챔버.
  2. 제 1 항에 있어서, 상기 메인 몸체는 적어도 하나의 로드 록 챔버 및 처리 챔버에 결합하도록 각각 제공된 다수의 편평한 영역들을 가진 원통형 내부 벽 및 외부 벽을 포함하는 것을 특징으로 하는 전달 챔버.
  3. 제 2 항에 있어서, 상기 메인 몸체는 단일 재료 부분으로 가공되는 것을 특징으로 하는 전달 챔버.
  4. 제 3 항에 있어서, 상기 메인 몸체는 알루미늄을 포함하는 것을 특징으로 하는 전달 챔버.
  5. 제 4 항에 있어서, 상기 메인 몸체의 측벽들은 약 2 인치의 최대 두께를 가지는 것을 특징으로 하는 전달 챔버.
  6. 제 1 항에 있어서, 상기 뚜껑은 실질적으로 편평한 것을 특징으로 하는 전달 챔버.
  7. 제 1 항에 있어서, 상기 뚜껑운 돔 모양인 것을 특징으로 하는 전달 챔버.
  8. 제 1 항에 있어서, 상기 돔 모양 바닥은 단일 재료 부분으로 가공되는 것을 특징으로 하는 전달 챔버.
  9. 제 8 항에 있어서, 상기 돔 모양 바닥은 스테인레스 스틸을 포함하는 것을 특징으로 하는 전달 챔버.
  10. 제 9 항에 있어서, 상기 돔 모양 바닥은 약 0.625 인치의 최소 두께를 가지는 것을 특징으로 하는 전달 챔버.
  11. 제 1 항에 있어서, 상기 돔 모양 바닥은 뚜껑 및 상기 돔 모양 바닥의 중앙 부분 사이의 수직 거리가 뚜껑 및 돔 모양 바닥의 외부 에지 사이의 수직 거리보다더 크도록 오목 구조를 가지는 것을 특징으로 하는 전달 챔버.
  12. 진공 처리 시스템으로서,
    적어도 하나의 처리 챔버 및 적어도 하나의 로드록 챔버에 결합하고 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버 사이에서 기판을 전달하기 위하여 제공된 로보트의 적어도 일부를 하우징하기 위하여 제공된 측벽들을 가진 메인 몸체,
    전달 챔버의 메인 몸체의 상부에 결합하여 밀봉하기 위하여 제공된 뚜껑, 및
    전달 챔버의 메인 몸체의 바닥 부분에 결합하여 밀봉하기 위하여 제공된 돔 모양 바닥을 포함하는 전달 챔버;
    상기 전달 챔버의 메인 몸체에 결합된 적어도 하나의 처리 챔버;
    상기 전달 챔버의 메인 몸체에 결합된 적어도 하나의 로드 록 챔버; 및
    돔 모양 바닥을 통하여 전달 챔버로 적어도 부분적으로 연장하는 로보트를 포함하고,
    상기 로보트는 전달 챔버를 통하여 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버 사이에서 기판을 전달하기 위하여 제공되는 진공 처리 시스템.
  13. 제 12 항에 있어서, 상기 메인 몸체는 적어도 하나의 로드 록 챔버 및 처리 챔버에 각각 결합하기 위하여 제공된 다수의 편평한 영역들을 가진 원통형 내부 벽및 외부 벽을 포함하는 것을 특징으로 하는 진공 처리 시스템.
  14. 제 13 항에 있어서, 상기 전달 챔버의 메인 몸체는 단일 재료부로 가공되는 것을 특징으로 하는 진공 처리 시스템.
  15. 제 14 항에 있어서, 상기 전달 챔버의 메인 몸체는 알루미늄을 포함하는 것을 특징으로 하는 진공 처리 시스템.
  16. 제 15 항에 있어서, 상기 전달 챔버의 메인 몸체의 측벽들은 약 2 인치의 최소 두께를 가지는 것을 특징으로 하는 진공 처리 시스템.
  17. 제 12 항에 있어서, 상기 전달 챔버의 뚜껑은 실질적으로 편평한 것을 특징으로 하는 진공 처리 시스템.
  18. 제 12 항에 있어서, 상기 전달 챔버의 뚜껑은 돔 모양인 것을 특징으로 하는 진공 처리 시스템.
  19. 제 12 항에 있어서, 상기 전달 챔버의 돔 모양 바닥은 단일 재료부로 가공되는 것을 특징으로 하는 진공 처리 시스템.
  20. 제 19 항에 있어서, 상기 전달 챔버의 돔 모양 바닥은 스테인레스 스틸을 포함하는 것을 특징으로 하는 진공 처리 시스템.
  21. 제 20 항에 있어서, 상기 전달 챔버의 돔 모양 바닥은 약 0.625 인치의 최소 두께를 가지는 것을 특징으로 하는 진공 처리 시스템.
  22. 제 12 항에 있어서, 상기 전달 챔버의 돔 모양 바닥은 오목 구조를 가져서, 전달 챔버의 뚜껑 및 돔 모양 바닥의 중앙 부분 사이의 수직 거리는 상기 뚜껑 및 돔 모양 바닥의 외부 에지 사이의 수직 거리보다 큰 것을 특징으로 하는 진공 처리 시스템.
  23. 적어도 하나의 처리 챔버에 적어도 하나의 로드 록 챔버를 결합하기 위하여 제공된 전달 챔버에 대한 돔 모양 바닥을 형성하기 위한 방법으로서,
    재료를 선택하는 단계; 및
    상기 재료로부터 돔 모양 바닥을 형성하는 단계를 포함하고, 상기 돔 모양 바닥은 저달 챔버의 메인 몸체의 바닥 부분과 밀봉을 형성하도록 구성되고 상기 바닥 부분에 일치하는 크기의 외부 직경과, 상기 전달 챔버에 결합된 적어도 하나의 로드 록 챔버 및 적어도 하나의 처리 챔버 사이에서 기판들을 전달하기 위하여 제공된 로보트의 적어도 일부를 수용하는 크기의 직경을 가진 구멍을 가지는 돔 모양 바닥 형성 방법.
  24. 제 23 항에 있어서, 상기 재료는 스테인레스 스틸인 것을 특징으로 하는 돔 모양 바닥 형성 방법.
  25. 전달 챔버로서,
    적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버에 결합하고 적어도 하나의 처리 챔버 및 적어도 하나의 로드 록 챔버 사이에서 기판을 전달하기 위하여 제공된 로보트의 적어도 일부를 하우징하기 위해 제공된 측벽들을 가진 메인 몸체;
    상기 전달 챔버의 메인 몸체의 상부 일부에 결합하여 밀봉하기 위하여 제공된 뚜껑; 및
    상기 전달 챔버의 메인 몸체의 바닥 부분에 결합하고 밀봉하기 위하여 제공된 돔 모양 바닥을 포함하고,
    상기 돔 모양 바닥은,
    전달 챔버내에 배치된 로보트 아암의 적어도 일부를 수용하도록 제공된 높이를 가진 원통형 영역; 및
    돔 모양 영역을 포함하고, 상기 돔 모양 영역은,
    제 1 곡률 반경을 가진 제 1 반경 부분, 및
    제 1 반경 부분 및 원통형 영역 사이에서 연장하고 제 1 곡률 반경 미만의 제 2 곡률 반경을 가진 제 2 반경 부분을 가지는 것을 특징으로 하는돔 모양 바닥 형성 방법.
  26. 제 25 항에 있어서, 상기 제 1 곡률 반경은 메인 몸체의 반경보다 큰 것을 특징으로 하는 돔 모양 바닥 형성 방법.
  27. 제 26 항에 있어서, 상기 제 1 곡률 반경은 메인 몸체 직경의 약 1.5 배인 것을 특징으로 하는 돔 모양 바닥 형성 방법.
  28. 제 25 항에 있어서, 상기 제 2 곡률 반경은 돔 모양 영역 두께의 약 5-20 배인 것을 특징으로 하는 돔 모양 바닥 형성 방법.
  29. 적어도 하나의 처리 챔버에 적어도 하나의 로드 록 챔버를 결합하기 위하여 제공된 전달 챔버에 대한 돔 모양 바닥을 형성하는 방법으로서,
    재료를 선택하는 단계; 및
    상기 재료로 돔 모양 바닥을 형성하는 단계를 포함하고, 상기 돔 모양 바닥은,
    상기 전달 챔버내에 배치된 로보트 아암의 적어도 일부를 수용하기 위하여 제공된 높이를 가진 원통형 영역; 및
    돔 모양 영역을 가지며, 상기 돔 모양 영역은,
    제 1 곡률 반경을 가진 제 1 반경 부분; 및
    제 1 반경 부분 및 원통형 영역 사이로 연장하고 상기 제 1 곡률 반경 미만의 제 2 곡률 반경을 가진 제 2 반경 부분을 가지는 돔 모양 바닥 형성 방법.
KR1020047020838A 2002-06-21 2003-06-20 진공 처리 시스템용 전달 챔버 KR100682209B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US39062902P 2002-06-21 2002-06-21
US60/390,629 2002-06-21
US39257802P 2002-06-28 2002-06-28
US60/392,578 2002-06-28
PCT/US2003/019413 WO2004001817A1 (en) 2002-06-21 2003-06-20 Transfer chamber for vacuum processing system

Publications (2)

Publication Number Publication Date
KR20050013597A true KR20050013597A (ko) 2005-02-04
KR100682209B1 KR100682209B1 (ko) 2007-02-12

Family

ID=30003165

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047020838A KR100682209B1 (ko) 2002-06-21 2003-06-20 진공 처리 시스템용 전달 챔버

Country Status (8)

Country Link
US (2) US7018517B2 (ko)
EP (1) EP1523761A1 (ko)
JP (2) JP4619116B2 (ko)
KR (1) KR100682209B1 (ko)
CN (1) CN100423179C (ko)
AU (1) AU2003245592A1 (ko)
TW (1) TWI294155B (ko)
WO (1) WO2004001817A1 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2003245592A1 (en) * 2002-06-21 2004-01-06 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
US7313462B2 (en) * 2003-06-06 2007-12-25 Semitool, Inc. Integrated tool with automated calibration system and interchangeable wet processing components for processing microfeature workpieces
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7354845B2 (en) * 2004-08-24 2008-04-08 Otb Group B.V. In-line process for making thin film electronic devices
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US8648977B2 (en) 2004-06-02 2014-02-11 Applied Materials, Inc. Methods and apparatus for providing a floating seal having an isolated sealing surface for chamber doors
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
KR100716041B1 (ko) * 2004-06-02 2007-05-09 어플라이드 머티어리얼스, 인코포레이티드 챔버를 밀봉하기 위한 방법 및 장치
WO2006130811A2 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Electronic device manufacturing chamber and methods of forming the same
WO2007094617A1 (en) * 2006-02-14 2007-08-23 Brooks Automation Asia Ltd. Transfer chamber for vacuum processing apparatus of substrate
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7867379B2 (en) * 2007-08-28 2011-01-11 Exxonmobil Research And Engineering Company Production of an upgraded stream from steam cracker tar by ultrafiltration
US7815790B2 (en) 2007-08-28 2010-10-19 Exxonmobil Research And Engineering Company Upgrade of visbroken residua products by ultrafiltration
US7736493B2 (en) * 2007-08-28 2010-06-15 Exxonmobil Research And Engineering Company Deasphalter unit throughput increase via resid membrane feed preparation
US8864996B2 (en) * 2007-08-28 2014-10-21 Exxonmobil Research And Engineering Company Reduction of conradson carbon residue and average boiling points utilizing high pressure ultrafiltration
US7897828B2 (en) * 2007-08-28 2011-03-01 Exxonmobile Research And Engineering Company Process for separating a heavy oil feedstream into improved products
US8177965B2 (en) * 2007-08-28 2012-05-15 Exxonmobil Research And Engineering Company Enhancement of saturates content in heavy hydrocarbons utilizing ultrafiltration
US7871510B2 (en) * 2007-08-28 2011-01-18 Exxonmobil Research & Engineering Co. Production of an enhanced resid coker feed using ultrafiltration
KR101446225B1 (ko) * 2008-07-11 2014-10-01 주식회사 원익아이피에스 진공처리시스템의 반송챔버
FR2933812B1 (fr) * 2008-07-11 2010-09-10 Alcatel Lucent Dispositif de chargement/dechargement de substrats
EP2293321A1 (en) * 2009-09-08 2011-03-09 Applied Materials, Inc. Mechanical modularity chambers
TWI416659B (zh) * 2010-12-31 2013-11-21 Advanced Micro Fab Equip Inc A mounting device for a vacuum processing system
PL220339B1 (pl) 2012-07-12 2015-10-30 Inst Chemii Fizycznej Polskiej Akademii Nauk Przenośna walizka próżniowa z wziernikiem
JP2015038967A (ja) * 2013-07-17 2015-02-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画チャンバ
KR101542169B1 (ko) 2014-08-28 2015-08-05 주식회사 원익아이피에스 진공처리시스템의 반송챔버
US11948810B2 (en) * 2017-11-15 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for processing substrates or wafers
KR102100775B1 (ko) * 2018-03-14 2020-04-14 우범제 이에프이엠
KR102132422B1 (ko) * 2018-03-14 2020-08-05 우범제 이에프이엠
CN109609910B (zh) * 2019-01-10 2021-04-13 深圳市致远动力科技有限公司 薄膜电池制备装置及方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11501957B2 (en) 2020-09-03 2022-11-15 Applied Materials, Inc. Pedestal support design for precise chamber matching and process control
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1381877A (en) * 1919-05-12 1921-06-14 Edward T Neyhard Knockdown tank
US2761582A (en) * 1950-08-01 1956-09-04 Moorex Ind Inc Demountable structure
US3925679A (en) 1973-09-21 1975-12-09 Westinghouse Electric Corp Modular operating centers and methods of building same for use in electric power generating plants and other industrial and commercial plants, processes and systems
US3854443A (en) 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US4341592A (en) * 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4483654A (en) 1981-02-13 1984-11-20 Lam Research Corporation Workpiece transfer mechanism
AU555553B2 (en) * 1981-10-27 1986-10-02 Arthur Malcolm Bennett Valve member
DE3219502C2 (de) * 1982-05-25 1990-04-19 Ernst Leitz Wetzlar Gmbh, 6330 Wetzlar Vorrichtung zum automatischen Transport scheibenförmiger Objekte
US4851058A (en) * 1982-09-03 1989-07-25 General Motors Corporation High energy product rare earth-iron magnet alloys
US4455177A (en) * 1982-09-13 1984-06-19 Filippov Vladimir I Method and apparatus for chemical heat treatment of steel parts utilizing a continuous electric furnace
US4491520A (en) * 1984-02-22 1985-01-01 Jaye Richard C Filter for water jugs
US4632624A (en) * 1984-03-09 1986-12-30 Tegal Corporation Vacuum load lock apparatus
US4726924A (en) * 1984-06-28 1988-02-23 The Boeing Company Method of planar forming of zero degree composite tape
DE3466135D1 (en) * 1984-10-16 1987-10-15 Ibm Vacuum transfer device
JPS61152987A (ja) * 1984-12-26 1986-07-11 Nippon Piston Ring Co Ltd 回転式流体ポンプ用ロ−タの製造方法
EP0555891B1 (en) 1985-10-24 1999-01-20 Texas Instruments Incorporated Vacuum processing system and method
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
FR2594102B1 (fr) * 1986-02-12 1991-04-19 Stein Heurtey Installation flexible automatisee de traitement thermochimique rapide
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4763690A (en) * 1986-07-29 1988-08-16 Harsco Corporation Leak-proof valve for gas cylinders
FR2620049B2 (fr) * 1986-11-28 1989-11-24 Commissariat Energie Atomique Procede de traitement, stockage et/ou transfert d'un objet dans une atmosphere de haute proprete, et conteneur pour la mise en oeuvre de ce procede
KR900005610Y1 (ko) * 1987-04-16 1990-06-28 이형곤 차압 2중 진공 씨스템
US4799418A (en) * 1987-08-21 1989-01-24 Mitsuba Electric Mfg. Co., Ltd. Vacuum actuator for vehicle speed control
US4851101A (en) * 1987-09-18 1989-07-25 Varian Associates, Inc. Sputter module for modular wafer processing machine
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5085887A (en) * 1990-09-07 1992-02-04 Applied Materials, Inc. Wafer reactor vessel window with pressure-thermal compensation
US5138525A (en) * 1991-06-14 1992-08-11 Dell Usa Corporation Multi-purpose strut for digital computer chassis
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5152504A (en) * 1991-09-11 1992-10-06 Janis Research Company, Inc. Vacuum valve
JPH05347130A (ja) * 1992-06-15 1993-12-27 Mitsubishi Electric Corp 陰極線管
US5269598A (en) 1992-10-08 1993-12-14 Enlight Corporation Personal computer component support structure
US5503809A (en) * 1993-04-19 1996-04-02 John T. Towles Compact ozone generator
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
JP3413875B2 (ja) * 1993-06-18 2003-06-09 石川島播磨重工業株式会社 圧力容器
US5421957A (en) * 1993-07-30 1995-06-06 Applied Materials, Inc. Low temperature etching in cold-wall CVD systems
JP3158264B2 (ja) * 1993-08-11 2001-04-23 東京エレクトロン株式会社 ガス処理装置
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0864542A (ja) 1994-08-25 1996-03-08 Plasma Syst:Kk 半導体処理装置用真空チャンバーおよびその製造方法
JPH08152493A (ja) * 1994-11-29 1996-06-11 Toshiba Corp 原子炉圧力容器
US6093252A (en) * 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
JPH09102526A (ja) * 1995-10-05 1997-04-15 Kokusai Electric Co Ltd 真空内基板搬送装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6216328B1 (en) * 1996-07-09 2001-04-17 Lam Research Corporation Transport chamber and method for making same
US5746434A (en) * 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6432203B1 (en) 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
JPH1189027A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
JPH1189026A (ja) * 1997-09-08 1999-03-30 Hitachi Ltd スイッチギヤ
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6530732B1 (en) * 1997-08-12 2003-03-11 Brooks Automation, Inc. Single substrate load lock with offset cool module and buffer chamber
US5913568A (en) * 1997-09-30 1999-06-22 Brightbill; Stephen T. Two platform motion seat
DE69838484T2 (de) 1997-11-03 2008-06-26 Asm America Inc., Phoenix Hochtemperatur-prozesskammer mit langer lebensdauer
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
JPH11186363A (ja) * 1997-12-24 1999-07-09 Shin Etsu Handotai Co Ltd 半導体製造装置
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
JP2000345349A (ja) 1999-06-04 2000-12-12 Anelva Corp Cvd装置
US6230719B1 (en) * 1998-02-27 2001-05-15 Micron Technology, Inc. Apparatus for removing contaminants on electronic devices
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
CA2328295A1 (en) * 1998-04-14 1999-10-21 Jack P. Salerno Film deposition system
US6019839A (en) * 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4526136B2 (ja) * 1998-06-08 2010-08-18 株式会社日立国際電気 被処理物搬送装置、半導体製造装置及び被処理物の処理方法
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US6267917B1 (en) * 1998-10-16 2001-07-31 Norstar Aluminum Molds, Inc. Rotatable mold apparatus having replaceable molds and replacement methods
KR100280519B1 (ko) 1998-11-17 2001-03-02 김영환 반도체 유기금속 화학기상증착장비의 가스 분사장치
US6143079A (en) 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000167788A (ja) * 1998-12-07 2000-06-20 Nsk Ltd 搬送ロボット装置
JP3433392B2 (ja) 1999-01-12 2003-08-04 セントラル硝子株式会社 クリーニングガス及び真空処理装置のクリーニング方法
US6267545B1 (en) * 1999-03-29 2001-07-31 Lam Research Corporation Semiconductor processing platform architecture having processing module isolation capabilities
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
US6099697A (en) * 1999-04-13 2000-08-08 Applied Materials, Inc. Method of and apparatus for restoring a support surface in a semiconductor wafer processing system
US6326597B1 (en) * 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
CA2387341A1 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
JP3949328B2 (ja) * 1999-11-02 2007-07-25 富士フイルム株式会社 画像形成方法
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6494959B1 (en) * 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
FR2805243B1 (fr) * 2000-02-22 2002-07-26 Tfe Tech Et Fabrications Elect Dispositif formant embout pour tuba ou de detenteur de plongee
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6582175B2 (en) * 2000-04-14 2003-06-24 Applied Materials, Inc. Robot for handling semiconductor wafers
US6977014B1 (en) 2000-06-02 2005-12-20 Novellus Systems, Inc. Architecture for high throughput semiconductor processing applications
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002001100A (ja) 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP4253107B2 (ja) 2000-08-24 2009-04-08 キヤノンアネルバ株式会社 基板処理装置及びその増設方法
KR100388294B1 (ko) 2000-08-30 2003-06-19 앰코 테크놀로지 코리아 주식회사 회로기판용 폴리이미드 위에 금속 박막을 증착하는 방법
JP5159010B2 (ja) 2000-09-08 2013-03-06 株式会社半導体エネルギー研究所 発光装置の作製方法
JP3640609B2 (ja) * 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
JP3729095B2 (ja) * 2001-06-29 2005-12-21 日産自動車株式会社 走行路検出装置
US6663333B2 (en) * 2001-07-13 2003-12-16 Axcelis Technologies, Inc. Wafer transport apparatus
JP2003117655A (ja) 2001-10-12 2003-04-23 Toyo Jigu:Kk 真空容器
TW522448B (en) * 2001-10-22 2003-03-01 Advanced Semiconductor Eng Semiconductor wafer carrying apparatus
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
US20040221811A1 (en) 2001-11-30 2004-11-11 Robert Mitchell Method and apparatus for processing wafers
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
JP2003188226A (ja) * 2001-12-18 2003-07-04 Anelva Corp 真空搬送処理装置
KR20030066118A (ko) 2002-02-04 2003-08-09 주성엔지니어링(주) 열팽창에 의한 변형을 최소화할 수 있는 샤워헤드형가스공급장치
JP4254116B2 (ja) * 2002-03-22 2009-04-15 東京エレクトロン株式会社 位置合わせ用基板
KR20030077803A (ko) 2002-03-27 2003-10-04 삼성전자주식회사 반도체제조설비에 사용되는 가스분배장치
AU2003245592A1 (en) * 2002-06-21 2004-01-06 Applied Materials, Inc. Transfer chamber for vacuum processing system
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
JP3989382B2 (ja) 2003-02-05 2007-10-10 東京エレクトロン株式会社 基板処理装置
JP4283559B2 (ja) * 2003-02-24 2009-06-24 東京エレクトロン株式会社 搬送装置及び真空処理装置並びに常圧搬送装置
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP2004335743A (ja) 2003-05-08 2004-11-25 Ulvac Japan Ltd 真空処理装置用真空チャンバー
JP2004349503A (ja) * 2003-05-22 2004-12-09 Tokyo Electron Ltd 被処理体の処理システム及び処理方法
JP4450664B2 (ja) 2003-06-02 2010-04-14 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
KR100441875B1 (ko) 2003-06-02 2004-07-27 주성엔지니어링(주) 분리형 이송 챔버
CN1829435A (zh) * 2003-07-31 2006-09-06 昭和电工株式会社 种子包衣组合物、包衣的种子和包衣方法
US7313262B2 (en) 2003-08-06 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for visualization of process chamber conditions
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7384992B2 (en) * 2003-11-10 2008-06-10 Meadwestvaco Corporation Rosin-fatty acid ester vinylic polymers
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
CN1669796B (zh) * 2004-02-23 2012-05-23 周星工程股份有限公司 用于制造显示基板的装置及装配在其中的喷头组合
TWI252070B (en) * 2004-03-10 2006-03-21 Delta Electronics Inc Pulling structure for an optical transmitting module
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
CN101866828B (zh) 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
KR100768741B1 (ko) 2004-08-13 2007-10-19 주식회사 이오테크닉스 레이저와 비전의 동축 가공장치
TWI287279B (en) 2004-09-20 2007-09-21 Applied Materials Inc Diffuser gravity support
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
JP4791110B2 (ja) 2005-09-02 2011-10-12 東京エレクトロン株式会社 真空チャンバおよび真空処理装置
JP5030970B2 (ja) * 2005-12-20 2012-09-19 アプライド マテリアルズ インコーポレイテッド 半導体デバイス製造装置のための拡張型メインフレーム設計
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
KR100769152B1 (ko) 2006-09-25 2007-10-22 동부일렉트로닉스 주식회사 반도체 소자의 와이어 패드

Also Published As

Publication number Publication date
JP2011018923A (ja) 2011-01-27
WO2004001817A1 (en) 2003-12-31
CN1675742A (zh) 2005-09-28
KR100682209B1 (ko) 2007-02-12
JP4619116B2 (ja) 2011-01-26
JP5204821B2 (ja) 2013-06-05
WO2004001817A9 (en) 2004-07-01
CN100423179C (zh) 2008-10-01
JP2005531149A (ja) 2005-10-13
EP1523761A1 (en) 2005-04-20
US20040055537A1 (en) 2004-03-25
US7018517B2 (en) 2006-03-28
US8033772B2 (en) 2011-10-11
US20060157340A1 (en) 2006-07-20
TW200403794A (en) 2004-03-01
AU2003245592A1 (en) 2004-01-06
TWI294155B (en) 2008-03-01

Similar Documents

Publication Publication Date Title
KR100682209B1 (ko) 진공 처리 시스템용 전달 챔버
US6958098B2 (en) Semiconductor wafer support lift-pin assembly
US20140076494A1 (en) Processing system
US20020011204A1 (en) Semiconductor wafer support lift-pin assembly
US20020159864A1 (en) Triple chamber load lock
US11626303B2 (en) Compliance components for semiconductor processing system
US20070114440A1 (en) Multi-chambered substrate processing equipment having sealing structure between chambers thereof, and method of assembling such equipment
US20020096114A1 (en) Series chamber for substrate processing
JP2023540294A (ja) 正確なチャンバマッチングと処理制御のためのペデスタル支持体設計
CN107112191B (zh) 用于下一代先进等离子体技术的腔室主体设计架构
US6776875B2 (en) Semiconductor substrate support assembly having lobed o-rings therein
JP2023527342A (ja) 高温真空分離処理ミニ環境
US20220028710A1 (en) Distribution components for semiconductor processing systems
US11946140B2 (en) Hot showerhead
US20230095095A1 (en) Method of isolating the chamber volume to process volume with internal wafer transfer capability
US20060286801A1 (en) Process chamber assembly and apparatus for processing a substrate
WO1999044221A1 (en) A seal member and a vacuum chamber
KR20220106046A (ko) 기판 처리 장치
WO2024097538A1 (en) Faraday faceplate
JP2005536890A (ja) 体積削減式プラズマ反応器
JP2002170779A (ja) 半導体処理チャンバにおける粒子残留物を減少させるための装置
KR20030041844A (ko) 기체 밀봉장치 및 이를 적용한 화학 기상 증착 반응 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130130

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190207

Year of fee payment: 13