TWI675410B - 半導體裝置結構的形成方法 - Google Patents

半導體裝置結構的形成方法 Download PDF

Info

Publication number
TWI675410B
TWI675410B TW106140919A TW106140919A TWI675410B TW I675410 B TWI675410 B TW I675410B TW 106140919 A TW106140919 A TW 106140919A TW 106140919 A TW106140919 A TW 106140919A TW I675410 B TWI675410 B TW I675410B
Authority
TW
Taiwan
Prior art keywords
layer
mask
patterned
mandrel
forming
Prior art date
Application number
TW106140919A
Other languages
English (en)
Other versions
TW201835987A (zh
Inventor
朱君瀚
陳乃嘉
黃秉榮
卓琮閔
施瑞明
嚴必明
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201835987A publication Critical patent/TW201835987A/zh
Application granted granted Critical
Publication of TWI675410B publication Critical patent/TWI675410B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

根據一些實施例,提供半導體裝置結構的形成方法。上述方法包含形成圖案化蝕刻遮罩於目標層上。上述方法亦包含利用圖案化蝕刻遮罩作為遮罩,以圖案化目標層而形成圖案化目標層。上述方法更包含對圖案化蝕刻遮罩及圖案化目標層執行第一清潔製程,第一清潔製程包含第一溶液。此外,上述方法包含執行第二清潔製程以移除圖案化蝕刻遮罩,並形成露出的圖案化目標層,第二清潔製程包含第二溶液。上述方法亦包含對露出的圖案化目標層執行第三清潔製程。上述方法更包含對露出的圖案化目標層執行第四清潔製程,第四清潔製程包含第一溶液。

Description

半導體裝置結構的形成方法
本發明一些實施例係有關於半導體裝置結構及其形成方法,特別是利用多種清潔製程來去除蝕刻製程後的殘留物,而形成的半導體裝置結構之方法。
半導體裝置用於各種電子產品,例如個人電腦、手機、數位相機或其他電子設備。典型的半導體裝置是藉由在半導體基底上沉積介電或絕緣層、導電層或半導體材料,並利用黃光來圖案化各個材料層,以在其內部形成電子部件及元件而製造形成。
藉由最小部件尺寸(例如電晶體、二極體、電阻、電容等)的整合密度持續微縮,半導體工業歷經快速的成長,使得單位面積內能整合更多的元件。然而,隨著最小部件尺寸的微縮化,伴隨其他需要解決的問題。
根據一些實施例,提供半導體裝置結構的形成方法。上述方法包含形成圖案化蝕刻遮罩於目標層上。上述方法亦包含利用圖案化蝕刻遮罩作為遮罩,以圖案化目標層而形成圖案化目標層。上述方法更包含對圖案化蝕刻遮罩及圖案化目 標層執行第一清潔製程,第一清潔製程包含第一溶液。此外,上述方法包含執行第二清潔製程以移除圖案化蝕刻遮罩,並形成露出的圖案化目標層,第二清潔製程包含第二溶液。上述方法亦包含對露出的圖案化目標層執行第三清潔製程。上述方法更包含對露出的圖案化目標層執行第四清潔製程,第四清潔製程包含第一溶液。
根據一些實施例,提供半導體裝置結構的形成方法。上述方法包含形成遮罩層於第一芯棒層上及形成第二芯棒層於遮罩層上。上述方法亦包含圖案化第二芯棒層以形成至少一個開口於第二芯棒層內。上述方法更包含形成第一間隙物於開口的側壁上。此外,上述方法包含使用第一間隙物作為遮罩,以圖案化遮罩層而形成圖案化遮罩層。上述方法亦包含使用圖案化遮罩層作為遮罩,以圖案化第一芯棒層而形成圖案化第一芯棒層。上述方法更包含利用遮罩移除製程移除圖案化遮罩層,遮罩移除製程形成殘留物於圖案化第一芯棒層的側壁上,並利用殘留物移除製程移除殘留物。
根據一些實施例,提供半導體裝置結構的形成方法。上述方法包含在複數個遮罩層上形成圖案化芯棒,上述遮罩層包含第一遮罩層及位於第一遮罩層上方的第二遮罩層。上述方法亦包含在圖案化芯棒的側壁上形成間隙物,並利用間隙物作為遮罩,以圖案化第二遮罩層而形成圖案化第二遮罩層。上述方法更包含利用乾蝕刻製程,以移除間隙物。此外,上述方法包含利用圖案化第二遮罩層作為遮罩,以圖案化第一遮罩層而形成圖案化第一遮罩層,並利用乾蝕刻製程移除圖案化第 二遮罩層。上述方法亦包含利用圖案化第遮罩層作為遮罩,以圖案化底部芯棒層而形成圖案化底部芯棒層,並利用第一濕移除製程移除圖案化第一遮罩層,其中第一濕移除製程包含磷酸,且第一濕移除製程形成殘留物於圖案化底部芯棒層的側壁上。上述方法更包含利用第二濕移除製程移除殘留物。
26‧‧‧蝕刻停止層
26a‧‧‧第一襯墊層
26b‧‧‧第二襯墊層
26c‧‧‧氧化物層
28‧‧‧目標層
32‧‧‧第一遮罩層
34‧‧‧第二遮罩層
36‧‧‧第二芯棒層
36A‧‧‧芯棒中間體
36B‧‧‧芯棒中間體
38‧‧‧底部層
40‧‧‧中間層
42‧‧‧頂部層
44‧‧‧開口
46‧‧‧第一間隙物層
48‧‧‧第一間隙物
50‧‧‧底部層
52‧‧‧中間層
54‧‧‧頂部層
56‧‧‧開口
58‧‧‧開口
60‧‧‧殘留層
62‧‧‧第一清潔製程
64‧‧‧第二清潔製程
66‧‧‧殘留濃縮物
68‧‧‧第三清潔製程
70‧‧‧支撐物
72‧‧‧腔室
74‧‧‧導管
76‧‧‧去離子水
78‧‧‧管口
80‧‧‧第四清潔製程
82‧‧‧第一溶液
84‧‧‧噴灑結構
100‧‧‧晶圓
120‧‧‧基底
200‧‧‧第二間隙物層
202‧‧‧第二間隙物
204‧‧‧區域
206‧‧‧半導體條狀物
208‧‧‧氧化襯層
210‧‧‧介電材料
212‧‧‧淺溝槽隔離區
214‧‧‧半導體鰭片
本揭露的各種樣態最好的理解方式為閱讀以下說明書的詳說明並配合所附圖式。應該注意的是,本揭露的各種不同特徵部件並未依據工業標準作業的尺寸而繪製。事實上,為使說明書能清楚敘述,各種不同特徵部件的尺寸可以任意放大或縮小。
第1A、1B、2-27圖是根據一些實施例,形成鰭式場效電晶體之中間各階段的上視圖和剖面圖。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本發明的說明 中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如”在...之下”、”下方”、”下部”、”上方”、”上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。例如,若翻轉圖式中的裝置,描述為位於其他元件或特徵部件”下方”或”在...之下”的元件,將定位為位於其他元件或特徵部件”上方”。因此,範例的用語”下方”可涵蓋上方及下方的方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本發明提供許多實施例。可提供額外的操作在這些實施例所述的階段之前、之中及/或之後。在不同的實施例,這些階段可被省略或取代。可在半導體裝置結構內增加額外的部件(feature)。在不同的實施例,下述的部件可被省略或取代。在一些實施例所述的操作是以特定的順序施加,然而這些操作可以其他合理的順序施加。
第1A、1B、2-27圖是根據一些實施例,在目標層(例如為底部芯棒層)內形成部件(feature)之中間各階段的上視圖和剖面圖。在一些圖式包含了晶圓的上視圖及剖面圖,其中上視圖所繪示部件的邊緣可與個別的剖面圖所繪示部件的邊緣大抵上一致。
第1A圖是依據一些實施例,繪示圖案化半導體裝置的中間其中一階段的晶圓100。第1A圖是根據一些實施例,半導體裝置在製程中某一階段的上視圖和剖面圖。晶圓100包含基底120。基底120可包含例如矽塊材、絕緣上覆半導體(semiconductor-on-insulation,SOI)的摻雜、未摻雜或主動層的基底。一般而言,SOI基底包含半導體材料層,例如形成在絕緣層上方的矽。絕緣層可為埋入氧化(buried oxide,BOX)層、氧化矽層。在基底上提供絕緣層,例如矽或玻璃基底。或者,基底120可包含其他元素半導體,例如鍺;基底120也可包含化合物半導體,例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;基底120也可包含合金半導體,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP或上述組合。也可以使用其他基底,例如多重層或梯度(gradient)基底。
如第1A圖所示,晶圓包含形成於基底120上的蝕刻停止層26。蝕刻停止層26可包含複數個層。在後續將位於蝕刻停止層26上方的目標層28的圖案轉移至基底120的蝕刻製程時,蝕刻停止層26可作為一遮罩(例如三重層(tri-layer)遮罩)。參閱第1B圖,蝕刻停止層26可包含第一襯墊層26a,第一襯墊層26a可為由氧化物(例如氧化矽)所形成的薄膜。因此,第一襯墊層26a可被稱為氧化物襯墊層。在本發明一些實施例,氧化物襯墊層26a由熱氧化製程形成,其中基底120的上表面層被氧化。氧化物襯墊層26a可具有介於約10Å至約50Å的範圍間(例如約25Å)的厚度。
氧化物襯墊層26a可作為基底120和第二襯墊層26b之間的黏著層,第二襯墊層26b可由氮化物(例如氮化矽)形成,並且可例如藉由低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition,LPCVD)形成。因此,第二襯墊層26b可被稱為氮化物襯墊層。根據本發明其他實施例,氮化物襯墊層26b藉由矽的熱氮化、電漿提升化學氣相沉積(Plasma Enhanced Chemical Vapor Deposition,PECVD)或電漿陽極氮化(plasma anodic nitridation)形成。氮化物襯墊層26b可具有介於約200Å至約300Å的範圍間(例如約260Å)的厚度。在本發明一些實施例,蝕刻停止層26可包含形成在氮化物襯墊層26b上方的氧化物層26c。在一些實施例,氧化物層26c可包含氧化矽、且可例如藉由PECVD或化學氣相沉積(Chemical Vapor Deposition,CVD)形成。氧化物層26c可具有介於約400Å至約800Å的範圍間(例如約600Å)的厚度。之後,目標層28形成在蝕刻停止層26上。在一些實施例,目標層28是在後續步驟中被蝕刻,讓複數個圖案形成於其中的層。在一些實施例,目標層28可包含非晶矽、非晶碳、AlOxNy、其他對於下方的蝕刻停止層26具有高蝕刻選擇比的材料、類似的材料或上述組合,且可藉由CVD、原子層沉積(Atomic Layer Deposition ALD)、類似的製程或上述組合形成。在一些實施例,目標層28可被稱為第一芯棒(mandrel)層或底部芯棒層。
如第1A圖所示,第一遮罩層32可位於目標層28上方,且第二遮罩層34可位於第一遮罩層32上方。在一些實施例,第一遮罩層32可為第一硬遮罩層,且第二遮罩層34可為第 二硬遮罩層。第一遮罩層32可包含氮化矽、氮化鈦、氧化鈦、類似的材料或上述組合,且可藉由CVD、PVD、ALD、類似的製程或上述組合形成。在一些實施例,第一遮罩層32可具有介於約100Å至約500Å的範圍間的厚度。第二遮罩層34可包含四乙氧基矽烷(tetraethyl orthosilicate,TEOS)、碳摻雜氧化矽(SiCOH)、SiOxCy、類似的材料或上述組合,且可藉由旋轉塗佈、CVD、ALD類似的製程或上述組合形成。在一些實施例,第二遮罩層34可具有介於約100Å至約500Å的範圍間的厚度。在一些實施例,可選擇第一遮罩層32和第二遮罩層34的材料使得在後續的圖案化製程,第一遮罩層32和第二遮罩層34具有所需的蝕刻速率。在後續會更詳細的描述,第二遮罩層34是藉由將多個圖案轉移至第二遮罩層34而圖案化。第二遮罩層34內的多個圖案在後續會轉移至第一遮罩層32,且第一遮罩層32的圖案在之後轉移至目標層28。
第二芯棒層36形成在第二遮罩層34上方。在一些實施例,第二芯棒層36(可被稱為頂部芯棒層)可包含非晶矽、非晶碳、AlOxNy、其他相對於下方的第二遮罩層34具有高蝕刻選擇比的材料、類似的材料或上述組合,且可藉由CVD、ALD、類似的製程或上述組合形成。
第一三重層遮罩設置於第二芯棒層36上方,其包含底部層(有時稱之為底層)38,位於底部層38上方的中間層40及位於中間層40上方的頂部層42。底部層38可包含有機材料,例如旋塗碳(spin-on carbon,SOC)材料或類似的材料,且可藉由旋轉塗佈、CVD、ALD或類似製程形成。在一些實施 例,底部層38的厚度可介於約500Å至約2000Å的範圍間。中間層40可包含無機材料,其可為氮化物(例如SiN、TiN、TaN或類似材料)、氮氧化物(例如SiON)、氧化物(例如氧化矽)或類似的材料,且可藉由CVD、ALD或類似製程形成。在一些實施例,中間層40的厚度介於約100Å至約400Å的範圍間。頂部層42可包含有機材料,例如光阻材料,且可藉由旋轉塗佈或類似製程形成。在一些實施例,頂部層42的厚度介於約500Å至約1500Å的範圍間。在一些實施例,中間層40的蝕刻速率大於頂部層42的蝕刻速率,且頂部層42作為圖案化中間層40的蝕刻遮罩。底部層38的蝕刻速率大於中間層40的蝕刻速率,且中間層40作為圖案化底部層38的蝕刻遮罩。
對頂部層42處理後,在圖案化頂部層42內部形成開口44。頂部層42藉由適合的黃光微影技術而圖案化。在一些實施例,頂部層42包光阻材料,曝光光阻材料並顯影後,移除部分的光阻材料。在一些實施例,每一個開口44的寬度W1介於約30nm至約50nm的範圍間,且長度L1介於約60nm至約6000nm的範圍間。如上視圖所示(也在第1A圖)的晶圓100,開口44可具有條狀。在一些實施例,開口44的間距P1約為開口44的寬度W1的3倍。在本說明書中,開口44的圖案亦被稱為線條A1圖案(line-A1 patterns)。
之後如第2圖結構所示,實施第一蝕刻製程,將頂部層42內的圖案轉移至第二芯棒層36。在蝕刻步驟的期間,可消耗掉頂部層42、中間層40及底部層38。如果圖案化後,頂部層42、中間層40和底部層38的任何殘留物留下,殘留物亦會被 移除。蝕刻為非等向性,使得第二芯棒層36的開口44具有與相對應的頂部層42內的開口44(如第1A圖所示)相同的尺寸。第一蝕刻製程包含一或多種蝕刻製程,且包含等向性濕蝕刻製程、非等向性乾蝕刻製程或上述組合。第2圖中,第二芯棒層36剩下的部分的亦被稱為芯棒中間體(intermediate mandrel),其包含芯棒中間體36A和芯棒中間體36B。
參閱第3圖,第一間隙物層46共形地形成(例如藉由ALD)在芯棒中間體36A和芯棒中間體36B及開口44上方。因此,開口44的寬度和長度減少了約2倍的第一間隙物層46的厚度T1。第一間隙物層46可包含氧化物(例如氧化矽、氧化鋁、氧化鈦或類似材料)、氮化物(例如SiN、氮化鈦或類似材料)、氮氧化物(例如SiON或類似材料)、碳氧化物(例如SiOC或類似材料)、氮化碳(例如SiCN或類似材料)、類似的材料或上述組合,且可藉由CVD、PECVD、ALD、類似的製程或上述組合形成。在一些實施例,第一間隙物層46的厚度T1介於約100Å至約200Å的範圍間。
參閱第4圖,圖案化第一間隙物層46以形成第一間隙物48於開口44的側壁上。在一些實施例,第一間隙物層46藉由非等向性乾蝕刻製程圖案化,以移除位於芯棒中間體36A、芯棒中間體36B和開口44底部上表面的第一間隙物層46的水平部分。第一間隙物層46之中留在開口44的側壁上的部分形成了第一間隙物48。在一些實施例,第一間隙物層46藉由乾蝕刻製程蝕刻,其使用蝕刻製程氣體,上述蝕刻製程氣體包含Cl2、O2、CxHyFz、N2、H2、HBr、Cl2、He、類似的氣體或上述組合。 至此,可移除芯棒中間體36A和芯棒中間體36B。移除芯棒中間體36A和芯棒中間體36B的製程繪示於第5和6圖。
參閱第5圖,第二三重層遮罩形成在芯棒中間體36A、芯棒中間體36B和第一間隙物48上方。第二三重層遮罩包含底部層50、位於底部層50上方的中間層52和位於中間層52上方的頂部層54。在一些實施例,底部層50、中間層52及頂部層54可個別使用和上述第1A圖中的第一三重層遮罩的底部層38、中間層40和頂部層42相似的材料及方法而形成,為了簡潔在此不再重複敘述。在一些實施例,底部層50的厚度介於約500Å至約2000Å的範圍間,中間層52的厚度介於約100Å至約400Å的範圍間,且頂部層54的厚度介於約500Å至約1500Å的範圍間。圖案化頂部層54以形成開口56,使得芯棒中間體36A和芯棒中間體36B內的開口44被頂部層54保護。在一些實施例,頂部層54可使用和上述第1A圖中的第一三重層遮罩的頂部層42相似的方法而圖案化,為了簡潔在此不再重複敘述。在此實施例,頂部層54內形成了3個開口56。在其他實施例,形成少於或大於3個開口56於頂部層54內。
參閱第6圖,執行圖案化製程以移除芯棒中間體36A和芯棒中間體36B。在一些實施例,圖案化製程包含一或多種蝕刻製程,第二三重層遮罩和第一間隙物48作為一複合式蝕刻遮罩,然後在芯棒中間體36A和芯棒中間體36B之前佔據的位置處形成了開口58。一或多種蝕刻製程可包含等向性濕蝕刻製程、非等向性乾蝕刻製程或上述組合。在移除芯棒中間體36A和芯棒中間體36B的圖案化製程期間,可消耗掉頂部層 54、中間層52和底部層50。開口58的圖案亦可被稱為線條B(line-B(LB))圖案。因此,在第5圖所述的黃光微影製程亦可被稱為LB黃光微影,且第6圖所述的蝕刻製程亦可被稱為LB蝕刻。
參閱第7圖,使用第一間隙物48,與圖案化製程連結作為蝕刻遮罩,以蝕刻位於下方的第二遮罩層34,使得開口58和開口44延伸至第二遮罩層34內。圖案化製程可包含一或多種蝕刻製程,其中第一遮罩層32作為蝕刻停止層。在一些實施例,圖案化製程可包含乾蝕刻製程,其使用蝕刻製程氣體,上述蝕刻製程氣體包含O2、CO2、CxHyFz、Ar、N2、H2、HBr、Cl2、He、類似的氣體或上述組合,或任意其他適合的能移除第二遮罩層34的露出部分而不損傷第一遮罩層32的蝕刻劑。
參閱第8圖,可藉由例如一或多種適合的蝕刻製程選擇性地移除第一間隙物48。在一些實施例,第一間隙物48可藉由例如乾蝕刻製程,其使用包含O2、Cl2、CO2、CxHyFz、Ar、N2、H2、類似的氣體或上述組合的蝕刻製程氣體。
參閱第9圖,對第一遮罩層32執行圖案化製程,以將開口58和開口44的圖案轉移至第一遮罩層32。圖案化製程在第一遮罩層32內形成個別與開口58和開口44對應的開口。第一遮罩層32的開口露出了部分的目標層28(例如包含非晶矽)。在一些實施例,圖案化製程包含適合的蝕刻製程,其中第二遮罩層34作為蝕刻遮罩。適合的蝕刻製程可包含等向性濕蝕刻製程、非等向性乾蝕刻製程或上述組合。在一些實施例,第一遮罩層32藉由使用例如乾蝕刻製程,其使用包含Cl2、O2、CxHyFz、 N2、H2、類似的氣體或上述組合的蝕刻製程氣體。之後,第二遮罩層34藉由例如適合的蝕刻製程移除。在一些實施例,第二遮罩層34藉由例如乾蝕刻製程,其使用包含O2、CO2、CxHyFz、Ar、N2、H2、類似的材料或上述組合。
參閱第10圖,對目標層28執行圖案化製程,將第一遮罩層32的圖案轉移至目標層28。圖案化製程將開口44及開口58延伸至目標層28,以露出部分的蝕刻停止層26。在一些實施例,圖案化製程包含一或多種適合的蝕刻製程,其中第一遮罩層32(如上所述,可包含氮化物,例如氮化矽)作為蝕刻遮罩。一或多種適合的蝕刻製程可包含等向性濕蝕刻製程、非等向性乾蝕刻製程或上述組合。
如先前第4圖所述,第一間隙物48可藉由例如使用蝕刻製程氣體的乾蝕刻製程蝕刻,上述蝕刻製程氣體包含O2、Cl2、CO2、CxHyFz、Ar、N2、H2、類似的材料或上述組合。此外,如先前第9圖所述,第二遮罩層34可藉由其他例如使用蝕刻製程氣體的乾蝕刻製程蝕刻,上述蝕刻製程氣體包含O2、CO2、CxHyFz、Ar、N2、H2、類似的材料或上述組合。此外,如先前第10圖所述,目標層28可藉由等向性濕蝕刻製程、非等向性乾蝕刻製程或上述組合蝕刻。
這些先前的蝕刻製程步驟可在蝕刻停止層26(例如蝕刻停止層26的氧化物層26c)、目標層28和第一遮罩層32的露出部分的至少一部分上,產生一殘留層60於其上。殘留層60可包含碳、氟或上述組合(例如氟碳化合物,例如CFx)。殘留層60是一種缺陷,如果殘留層60沒有從晶圓100上移除, 將可能造成圖案化的失敗。因此,清潔製程可能需要減少或使晶圓100上實質上不留下殘留層60。
依據一些實施例,第11圖顯示一第一清潔製程62,且可對如第10圖所示的結構執行上述第一清潔製程62。第一清潔製程62可為濕清潔製程,其使用第一溶液。在一些實施例,第一溶液可為混合物,上述混合物包含水、過氧化氫及氨水。在一些實施例,氨水的濃度介於約10ppm至約500ppm的範圍間。例如,第一清潔製程62可為標準清潔1(standard cleaning 1,SC1)製程。第一清潔製程62可移除大部分的殘留層60以露出部分的第一遮罩層32。然而,如第12圖所示,殘留層60的一些部分可仍殘留在目標層28和第一遮罩層32的側壁上。例如,第一清潔製程62可在室溫(例如約25℃)下執行。
依據一些實施例,第13圖繪示一第二清潔製程64,且可執行第二清潔製程64以移除第一遮罩層32。第二清潔製程64可為濕清潔製程,其使用第二溶液。在一些實施例,第二溶液可為酸性溶液。例如,第二溶液可為磷酸,其濃度介於約80%-wt至約90%-wt的範圍間。第二清潔製程64可在溫度介於約100℃至約200℃的範圍間執行。利用酸性溶液或所述範圍內的溫度執行第二清潔製程64,可以讓殘留層60的材料聚集,且形成殘留濃縮物66於目標層28的側壁上。後續,殘留濃縮物66移除的執行將在第14-16圖敘述。
依據一些實施例,第14圖繪示對晶圓100所執行的第三清潔製程68的縮小圖。如第14圖所示,晶圓100可放在設置於腔室72內的支撐物70上方。在一些實施例,例如如第14圖 所示的例子,支撐物70可包含至少一個導管74,其用來將去離子水76導向如第14圖所示的晶圓100的背面。在一些實施例,晶圓100的背面可為晶圓100中遠離基底120的表面。在一些實施例去離子水76可包含二氧化碳。在此實施例,去離子水76含有二氧化碳,其可用於去除在前面製程步驟中,已產生聚集在晶圓100上的靜電。
參閱第15圖,第三清潔製程68持續提供去離子水76至晶圓100的正面。在一些實施例,晶圓100的正面可為晶圓100之中在基底120上的表面,且為後續層所形成的表面。可利用設置在晶圓100上的管口78來提供去離子水76至晶圓100的正面。第14及15圖所述的製程組合可讓前面製程步驟中,已產生聚集在晶圓100上的靜電較慢地放電,以避免或實質上減少因從晶圓100靜電之放電所產生的缺陷。在一些實施例,第三清潔製程68在室溫(例如約25℃)下執行。
依據一些實施例,第16圖繪示對晶圓100所執行的第四清潔製程80。在第四清潔製程80,用於第一清潔製程62的第一溶液82再一次地提供至晶圓100。特別而言,支撐物70的導管74將第一溶液82導向至晶圓100的背面。此外,管口78將第一溶液82提供至晶圓100的正面上。在一些實施例,將第一溶液82同時提供至晶圓100的正面和背面上。在第16圖所示的例子,與管口78不同的噴灑結構84可與管口78連結,以提供第一溶液82。在一些實施例,第一溶液82可為混合物,其包含水、過氧化氫及氨水,其中氨水的濃度介於約10ppm至約500ppm的範圍。此外,或是選擇性地,當執行第四清潔製程80時,氨水 的溫度可介於約20℃至約70℃的範圍間。
如第14-16圖所述的清潔製程給予的效果為:如第13圖所述的殘留濃縮物66大抵上從圖案化目標層28的側壁上被移除。因此,晶圓100上的氟碳化合物(例如CFx)不見或大抵上減少,藉此改善晶圓100內的缺陷數。此外,如第14-16圖所述的清潔製程可用與現存可用的晶圓清潔系統一起使用,藉此避免了對現有系統進行重新組合或重新配置的必要。
第17圖繪示執行第14-16圖所述的清潔製程後所得到的結構。參閱第18圖,第二間隙物層200共形地形成於目標層28及蝕刻停止層26所露出的部分上。第二間隙物層200可包含與第一間隙物層46(例如第3圖所示)相似的材料,且可藉由與第3圖所述之相似的步驟而形成。
參閱第19圖,圖案化第二間隙物層200,在目標層28的側壁上形成第二間隙物202。在一些實施例,第二間隙物層200藉由非等向性乾蝕刻製程圖案化,藉此從目標層28及蝕刻停止層26露出的表面上方移除第二間隙物層200的水平部分。第二間隙物層200殘留在目標層28的側壁部分形成第二間隙物202。圖案化第二間隙物層200的製程可與第4圖所述用來圖案化第一間隙物層46的製程相似。
參閱第20圖,移除目標層28,例如藉由第5和6圖所述的用來移除芯棒中間體36A和芯棒中間體36B的製程移除目標層28。如第20圖所示,移除目標層28的製程使第二間隙物202留在蝕刻停止層26上。從此步驟,第二間隙物202的圖案可經由蝕刻停止層26而轉移至基底120,藉此形成半導體條狀 物。第20圖繪示區域204,且第21-27圖繪示區域204的放大圖。
參閱第21圖,利用第二間隙物202作為遮罩,蝕刻蝕刻停止層26的氧化物層26c,例如藉由與第7圖所述的圖案化第二遮罩層34相似的製程來蝕刻氧化物層26c。如第21圖所示,蝕刻氧化物層26c後露出蝕刻停止層26的氮化物襯墊層。參閱第22圖,可使用一或多種如第7及8圖所述用來選擇性地移除第一間隙物48的適合蝕刻製程來選擇性地移除第二間隙物202。
之後,參閱第23圖,利用氧化物層26c作為遮罩,蝕刻蝕刻停止層26的氮化物襯墊層26b,例如藉由第9圖所述用來圖案化第一遮罩層32的製程蝕刻氮化物襯墊層26b。在一些實施例,例如在第23圖所述的步驟,氧化物層26c藉由例如乾蝕刻製程移除,乾蝕刻製程使用包含O2、CO2、CxHyFz、Ar、N2、H2、類似的材料或上述組合蝕刻製程氣體。
參閱第24圖,氮化物襯墊層26b的圖案轉移至氧化物襯墊層26a及基底120而形成半導體條狀物206,例如,藉由與第7圖所述用來圖案化第二遮罩層34相似的製程。
第25圖繪示將介電材料填入。在一些實施例,氧化襯層208形成在半導體條狀物206的側壁上。根據一些實施例,氧化襯層208可為共形層,其具有厚度相似的水平部分和垂直部分。氧化襯層208可為熱氧化物,其厚度介於約10Å至約100Å的範圍間。依據本發明一些實施例,氧化襯層208藉由原位水汽生成(In-Situ Steam Generation,ISSG),例如使用水蒸氣或氫氣(H2)與氧氣(O2)的組合來氧化半導體條狀物206 及基底120。依據本發明一些實施例,氧化襯層208藉由沉積技術形成,例如次大氣壓化學氣相沉積(Sub Atmospheric Chemical Vapor Deposition,SACVD)。
第25圖也繪示沉積/形成介電材料210。介電材料210覆蓋氮化物襯墊層26b、氧化物襯墊層26a、半導體條狀物206及氧化襯層208。可選擇流動式化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD)、CVD、ALD或類似製程作為介電材料210的形成方法。可執行處理製程來硬化介電材料210。介電材料210例如可包含氧化矽。
如第26圖所示,可執行例如化學機械研磨(Chemical Mechanical Polish,CMP),藉此形成淺溝槽隔離區212,其包含氧化襯層208及介電材料210剩下的部分。氮化物襯墊層26b可作為CMP停止層,藉此使氮化物襯墊層26b的上表面與淺溝槽隔離區212的上表面齊平。
在後續的製程步驟,移除氮化物襯墊層26b及氧化物襯墊層26a。接下來,如第27圖所示,凹蝕淺溝槽隔離區212。半導體條狀物206的頂部凸出於淺溝槽隔離區212剩餘部分的上表面,形成了半導體鰭片214。經由上述製程後,結構如第27圖所示。在本發明一些實施例,淺溝槽隔離區212的凹陷藉由執行乾蝕刻方法而形成,其製程氣體包含NH3和HF3。在本發明其他實施例,淺溝槽隔離區212的凹陷藉由執行濕蝕刻方法而形成,使用的蝕刻劑溶液為稀釋的HF溶液。凹蝕淺溝槽隔離區212後,形成半導體鰭片214,對半導體鰭片214執行多個製程步驟,上述製程步驟可包含井區佈植、形成閘極堆疊、源 /汲極及替代閘極,藉此形成FinFET。值得注意的是:上述用來形成FinFET的實施例僅是作為示例,本發明其他實施例在基底120內形成其他的半導體部件。
根據一些實施例,提供半導體裝置結構的形成方法。上述方法包含形成圖案化蝕刻遮罩於目標層上。上述方法亦包含利用圖案化蝕刻遮罩作為遮罩,以圖案化目標層而形成圖案化目標層。上述方法更包含對圖案化蝕刻遮罩及圖案化目標層執行第一清潔製程,第一清潔製程包含第一溶液。此外,上述方法包含執行第二清潔製程以移除圖案化蝕刻遮罩,並形成露出的圖案化目標層,第二清潔製程包含第二溶液。上述方法亦包含對露出的圖案化目標層執行第三清潔製程。上述方法更包含對露出的圖案化目標層執行第四清潔製程,第四清潔製程包含第一溶液。
根據一些實施例,提供半導體裝置結構的形成方法。上述方法包含形成遮罩層於第一芯棒層上及形成第二芯棒層於遮罩層上。上述方法亦包含圖案化第二芯棒層以形成至少一開口於第二芯棒層內。上述方法更包含形成第一間隙物於開口的側壁上。此外,上述方法包含使用第一間隙物作為遮罩,以圖案化遮罩層而形成圖案化遮罩層。上述方法亦包含使用圖案化遮罩層作為遮罩,以圖案化第一芯棒層而形成圖案化第一芯棒層。上述方法更包含利用遮罩移除製程移除圖案化遮罩層,遮罩移除製程形成殘留物於圖案化第一芯棒層的側壁上,並利用殘留物移除製程移除殘留物。
根據一些實施例,提供半導體裝置結構的形成方 法。上述方法包含在複數個遮罩層上形成圖案化芯棒,上述遮罩層包含第一遮罩層及位於第一遮罩層上方的第二遮罩層。上述方法亦包含在圖案化芯棒的側壁上形成間隙物,並利用間隙物作為遮罩,以圖案化第二遮罩層而形成圖案化第二遮罩層。上述方法更包含利用乾蝕刻製程,以移除間隙物。此外,上述方法包含利用圖案化第二遮罩層作為遮罩,以圖案化第一遮罩層而形成圖案化第一遮罩層,並利用乾蝕刻製程移除圖案化第二遮罩層。上述方法亦包含利用圖案化第遮罩層作為遮罩,以圖案化底部芯棒層而形成圖案化底部芯棒層,並利用第一濕移除製程移除圖案化第一遮罩層,其中第一濕移除製程包含磷酸,且第一濕移除製程形成殘留物於圖案化底部芯棒層的側壁上。上述方法更包含利用第二濕移除製程移除殘留物。
以上敘述許多實施例的特徵,使所屬技術領域中具有通常知識者能夠清楚理解本揭示的概念。所屬技術領域中具有通常知識者能夠理解,其可利用本發明揭示內容作為基礎,以設計或更動其他製程及結構而完成相同於上述實施例的目的及/或達到相同於上述實施例的優點。所屬技術領域中具有通常知識者亦能夠理解,不脫離本揭示之精神和範圍的等效構造可在不脫離本揭示之精神和範圍內作各種之更動、替代與潤飾。

Claims (15)

  1. 一種半導體裝置結構的形成方法,包括:形成一圖案化蝕刻遮罩於一目標層上;利用該圖案化蝕刻遮罩作為一遮罩,以圖案化該目標層而形成一圖案化目標層;對該圖案化蝕刻遮罩及該圖案化目標層執行一第一清潔製程,該第一清潔製程包括一第一溶液;執行一第二清潔製程以移除該圖案化蝕刻遮罩,並形成一露出的圖案化目標層,該第二清潔製程包括一第二溶液;對該露出的圖案化目標層執行一第三清潔製程;以及對該露出的圖案化目標層執行一第四清潔製程,該第四清潔製程包括該第一溶液。
  2. 如申請專利範圍第1項所述之方法,其中該第一溶液包括水、過氧化氫和氨水的至少一者。
  3. 如申請專利範圍第1項所述之方法,其中該第二溶液包括磷酸。
  4. 如申請專利範圍第1項所述之方法,其中利用該圖案化蝕刻遮罩作為該遮罩來圖案化該目標層,以產生一殘留層於該圖案化目標層和該圖案化蝕刻遮罩的側壁上。
  5. 如申請專利範圍第4項所述之方法,其中該殘留層包括一氟碳化合物。
  6. 如申請專利範圍第1項所述之方法,其中對該露出的圖案化目標層執行該第三清潔製程包括:將該露出的圖案化目標層暴露於去離子水。
  7. 如申請專利範圍第6項所述之方法,其中去離子水包括二氧化碳。
  8. 如申請專利範圍第1項所述之方法,其中對該露出的圖案化目標層執行該第四清潔製程包括:以介於約20℃至約70℃的範圍間的一溫度下,提供該第一溶液。
  9. 一種半導體裝置結構的形成方法,包括:形成一遮罩層於一第一芯棒層上;形成一第二芯棒層於該遮罩層上;圖案化該第二芯棒層以形成至少一個開口於該第二芯棒層內;形成第一間隙物於該至少一個開口的側壁上;使用該些第一間隙物作為一遮罩,以圖案化該遮罩層而形成一圖案化遮罩層;使用該圖案化遮罩層作為一遮罩,以圖案化該第一芯棒層而形成一圖案化第一芯棒層;利用一第一溶液清潔該圖案化第一芯棒層及該圖案化遮罩層;利用一遮罩移除製程以移除該圖案化遮罩層,該遮罩移除製程形成一殘留物於該圖案化第一芯棒層的側壁上;以及利用一殘留物移除製程以移除該殘留物。
  10. 如申請專利範圍第9項所述之方法,其中該第一溶液包括水、過氧化氫和氨水的一混合物。
  11. 如申請專利範圍第9項所述之方法,其中該遮罩移除製程包括一第二溶液,其中該第二溶液包括磷酸。
  12. 如申請專利範圍第11項所述之方法,其中該遮罩移除製程在溫度介於約100℃至約200℃的範圍間執行。
  13. 如申請專利範圍第9項所述之方法,其中該殘留物移除製程包括去離子水及氨水。
  14. 如申請專利範圍第13項所述之方法,其中該殘留物移除製程包括:利用去離子水以清潔該圖案化第一芯棒層;以及使用去離子水清潔後,利用氨水清潔該圖案化第一芯棒層。
  15. 一種半導體裝置結構的形成方法,包括:在複數個遮罩層上形成一圖案化芯棒,該些遮罩層包括一第一遮罩層及位於該第一遮罩層上方的一第二遮罩層;在該圖案化芯棒的側壁上形成間隙物;利用該些間隙物作為一遮罩,以圖案化該第二遮罩層而形成一圖案化第二遮罩層;利用一乾蝕刻製程,以移除該些間隙物;利用該圖案化第二遮罩層作為一遮罩,以圖案化該第一遮罩層而形成圖案化第一遮罩層;利用該乾蝕刻製程,以移除該圖案化第二遮罩層;利用該圖案化第一遮罩層作為一遮罩,以圖案化一底部芯棒層而形成一圖案化底部芯棒層;利用一第一濕移除製程移除該圖案化第一遮罩層,該第一濕移除製程包括磷酸,且該第一濕移除製程形成一殘留物於該圖案化底部芯棒層的側壁上;以及利用一第二濕移除製程移除該殘留物。
TW106140919A 2016-11-29 2017-11-24 半導體裝置結構的形成方法 TWI675410B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427704P 2016-11-29 2016-11-29
US62/427,704 2016-11-29
US15/796,398 2017-10-27
US15/796,398 US10553720B2 (en) 2016-11-29 2017-10-27 Method of removing an etch mask

Publications (2)

Publication Number Publication Date
TW201835987A TW201835987A (zh) 2018-10-01
TWI675410B true TWI675410B (zh) 2019-10-21

Family

ID=62117524

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106140919A TWI675410B (zh) 2016-11-29 2017-11-24 半導體裝置結構的形成方法

Country Status (5)

Country Link
US (3) US10553720B2 (zh)
KR (2) KR20180061054A (zh)
CN (1) CN108155088B (zh)
DE (1) DE102017125781A1 (zh)
TW (1) TWI675410B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109427578A (zh) * 2017-08-24 2019-03-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
TWI685086B (zh) * 2019-01-03 2020-02-11 華邦電子股份有限公司 著陸墊結構及其製造方法
US10636658B1 (en) * 2019-01-23 2020-04-28 Micron Technology, Inc. Methods of forming patterns, and methods of patterning conductive structures of integrated assemblies
US10833160B1 (en) * 2019-04-17 2020-11-10 Globalfoundries Inc. Field-effect transistors with self-aligned and non-self-aligned contact openings
US10811258B1 (en) * 2019-06-24 2020-10-20 United Microelectronics Corp. Method for improving the quality of a high-voltage metal oxide semiconductor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200834685A (en) * 2007-02-01 2008-08-16 United Microelectronics Corp Method of cleaning wafer after etching process
US20130048605A1 (en) * 2011-08-26 2013-02-28 Applied Materials, Inc. Double patterning etching process

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919311A (en) 1996-11-15 1999-07-06 Memc Electronic Materials, Inc. Control of SiO2 etch rate using dilute chemical etchants in the presence of a megasonic field
KR100500924B1 (ko) 1999-12-30 2005-07-14 주식회사 하이닉스반도체 메모리소자의 텅스텐 전극 형성방법
TW544794B (en) * 2002-07-05 2003-08-01 Taiwan Semiconductor Mfg Method for removing particles in etching process
US7105361B2 (en) * 2003-01-06 2006-09-12 Applied Materials, Inc. Method of etching a magnetic material
DE102004029077B4 (de) 2003-06-26 2010-07-22 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Entfernung eines Photoresists von einem Substrat
KR100505693B1 (ko) 2003-06-26 2005-08-03 삼성전자주식회사 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
TW200625437A (en) 2004-12-30 2006-07-16 Macronix Int Co Ltd Shallow trench isolation process of forming smooth edge angle by cleaning procedure
CN101211114A (zh) * 2006-12-27 2008-07-02 中芯国际集成电路制造(上海)有限公司 一种改进型栅极多晶硅掩膜层去除方法
KR101175267B1 (ko) 2006-12-27 2012-08-21 에스케이하이닉스 주식회사 반도체소자의 메탈라인 패터닝 방법
CN101677064B (zh) * 2008-09-15 2012-01-04 台湾积体电路制造股份有限公司 制造半导体装置的方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8835326B2 (en) * 2012-01-04 2014-09-16 International Business Machines Corporation Titanium-nitride removal
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8895449B1 (en) * 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
KR102166974B1 (ko) 2013-11-11 2020-10-16 도쿄엘렉트론가부시키가이샤 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어
US9209076B2 (en) * 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
CN105374754B (zh) * 2014-08-28 2019-01-18 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法
JP6582803B2 (ja) * 2015-09-25 2019-10-02 セイコーエプソン株式会社 電子デバイス、液体吐出ヘッド、および、電子デバイスの製造方法
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR20170128801A (ko) * 2016-05-16 2017-11-24 삼성전자주식회사 기판 세정 방법 및 이를 수행하기 위한 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200834685A (en) * 2007-02-01 2008-08-16 United Microelectronics Corp Method of cleaning wafer after etching process
US20130048605A1 (en) * 2011-08-26 2013-02-28 Applied Materials, Inc. Double patterning etching process

Also Published As

Publication number Publication date
US11495684B2 (en) 2022-11-08
US10553720B2 (en) 2020-02-04
US20180151735A1 (en) 2018-05-31
TW201835987A (zh) 2018-10-01
DE102017125781A1 (de) 2018-05-30
US20200259017A1 (en) 2020-08-13
KR102128515B1 (ko) 2020-07-01
US10636908B2 (en) 2020-04-28
CN108155088B (zh) 2021-07-23
KR20200012005A (ko) 2020-02-04
CN108155088A (zh) 2018-06-12
KR20180061054A (ko) 2018-06-07
US20190097052A1 (en) 2019-03-28

Similar Documents

Publication Publication Date Title
TWI675410B (zh) 半導體裝置結構的形成方法
US9881794B1 (en) Semiconductor methods and devices
US10749014B2 (en) Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features
TWI588879B (zh) 鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程
US11063043B2 (en) Method for forming fin field effect transistor (FinFet) device structure
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
US9431304B2 (en) Method and structure for metal gates
TWI524464B (zh) FinFET元件與其形成方法
TWI713089B (zh) 積體電路結構的形成方法
US9425053B2 (en) Block mask litho on high aspect ratio topography with minimal semiconductor material damage
TWI630705B (zh) 半導體元件及其製造方法
TWI720429B (zh) 半導體裝置之製造方法
CN107785315B (zh) 半导体结构的形成方法
TW201926548A (zh) 半導體結構的製造方法
TW201820413A (zh) 半導體裝置結構的形成方法
CN107731666B (zh) 双重图形化的方法
TW202109618A (zh) 圖案化半導體裝置的方法
TW202215494A (zh) 半導體結構的製造方法
CN103325664A (zh) 半导体器件的形成方法
US20240112905A1 (en) Semiconductor Device and Method
US10276449B1 (en) Method for forming fin field effect transistor (FinFET) device structure
CN109559978B (zh) 半导体结构及其形成方法
TWI609457B (zh) 形成接觸洞的方法與具有接觸插塞的半導體結構
TWI612671B (zh) 半導體元件及其製作方法
CN108630611A (zh) 半导体结构及其形成方法