KR102166974B1 - 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어 - Google Patents

에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어 Download PDF

Info

Publication number
KR102166974B1
KR102166974B1 KR1020167015575A KR20167015575A KR102166974B1 KR 102166974 B1 KR102166974 B1 KR 102166974B1 KR 1020167015575 A KR1020167015575 A KR 1020167015575A KR 20167015575 A KR20167015575 A KR 20167015575A KR 102166974 B1 KR102166974 B1 KR 102166974B1
Authority
KR
South Korea
Prior art keywords
substrate
mixture
irradiating
cleaning
hardmask
Prior art date
Application number
KR1020167015575A
Other languages
English (en)
Other versions
KR20160086375A (ko
Inventor
이안제이 브라운
준준 리우
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160086375A publication Critical patent/KR20160086375A/ko
Application granted granted Critical
Publication of KR102166974B1 publication Critical patent/KR102166974B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

기판을 세정하기 위한 방법은, 반도체 제조의 일부분과 같이, 하드마스크의 마스크들 및 폴리머 막들을 가진 세정 기판들을 포함하여 설명된다. 세정 방법들은, 프로세스 가스 혼합물 및 액체 세정 화학물의 UV(ultraviolet)광 노출을 포함한다. 기판 및/또는 프로세스 유체는 자외 방사선에 노출된다. 조사되는 프로세스 가스 혼합물은 산화 가스 혼합물(에어, 클린 건식 에어, 산소, 과산소 등)을 포함할 수 있다. 수소를 가진 환원 가스 혼합물이 또한 조사될 수 있다. 조사된 가스 혼합물로부터의 반응종은, 예컨대 후속 액체 세정 단계를 용이하게 함으로써, 막 특성을 화학적으로 변경시키기 위하여 기판에 노출된다. 기판 표면 상의 액체 세정 화학물이 또한 조사될 수 있다. 이러한 세정 기술은 세정 시간을 단축시키고, 프로세싱 온도를 낮추며, 유전체 층과 같은 하부 또는 중간 층에 대한 손상을 감소시킨다.

Description

에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어{METHOD AND HARDWARE FOR ENHANCED REMOVAL OF POST ETCH POLYMER AND HARDMASK REMOVAL}
관련 출원과의 교차 참조
본 출원은 2013년 11월 11일에 출원되고 명칭이 "Method and Hardware for Enhanced Removal of Post Etch Polymer and Hardmask Removal"인 미국 가특허 출원 제61/902,485호의 이익을 주장하며, 이는 그 전체가 참조로 본 명세서에 포함된다.
본 출원은 일반적으로 반도체 프로세싱에 관한 것으로, 구체적으로는 기판 세정 프로세스에 관한 것이다.
집적 회로 및 반도체 디바이스의 제조는 다수의 상이한 유형의 처리 기술을 필요로 할 수 있다. 이러한 기술들은 대체로 기판 패터닝을 수반하고, 패턴을 이용하여 다양한 희생성 및/또는 영구적 구조를 만들 수 있다. 예를 들어, 포토리소그래피는 포토레지스트 등의 방사선에 민감한 재료로 된 얇은 층을 이용해 패터닝된 층을 만들어 내는데 사용될 수 있다. 이 방사선 민감층은 패터닝된 마스크로 변하고, 이 마스크는 패턴을 기판 상의 하부층에 에칭 또는 전사하는데 이용될 수 있다. 이렇게 포토레지스트의 패터닝된 층은 하나 이상의 하부층의 방향성(이방성) 에칭용의 마스크로서 기능할 수 있다. 포토리소그래피 이미지 전사에 따른 과제를 해결하기 위해, (마스크로서 기능하는)패터닝된 포토레지스트는 상당히 다른 에칭 선택도를 가진 하부층 재료에 다른 마스크를 만드는데 이용될 수 있는데, 이 재료를 흔히 하드마스크라고 한다. 하드마스크의 형성은, 에칭될 주어진 타겟 하부층이 포토레지스트 재료를 동시에 에칭할 수 있는 에칭 화학작용에 반응할 수 있기 때문에 유리할 수 있으며, 이에 일부 재료에 대한 마스크로서 사용되기에 본질적으로 무효한 소정의 포토레지스트 릴리프 패턴(relief pattern)이 에칭되게 한다. 집적 회로 및 반도체 디바이스의 제조는 재료를 적층하고, 재료를 개질하고, 재료를 패터닝하고, 재료를 제거하는 것의 순환 공정일 수 있다. 패터닝된 하부층 등의 제2 유형의 재료는 제거하지 않고서 제1 유형의 재료(하드마스크 등)는 제거해야 하는 경우가 흔히 있다. 주어진 기판의 재료를 선택적으로 제거 또는 세정하여 없애기 위해 다양한 세정 공정이 실시될 수 있다. 이러한 세정 공정은 기판의 재료를 세정하여 없애기 위해 특정 화학반응 및/또는 물리적 메커니즘을 이용하는 습식 세정 기술(예, 반응성 화학액) 및 건식 세정 기술(예, 플라즈마 기반 세정)을 다 포함할 수 있다.
임의의 기판 세정 애플리케이션은 하부에 있는 로우-k 유전체 막을 손상시키지 않고서 기판으로부터 폴리머 재료 및 하드마스크를 제거하는 것을 수반한다. 이에 따라, 로우-k 유전체막을 에칭하는 데에 에칭 마스크로서 사용되고 있는 하드마스크는 통상, 제조 프로세스를 지속하는 중에 선택적으로 제거될 필요가 있다. 이러한 저 유전체 막은 약 2.6 미만의 유전율을 갖거나/갖고 실리콘, 탄소, 산소 및 수소의 화학적 조성를 가질 수 있다. 이러한 유전체 막은 다공성이고 손상되기 쉬울 수 있다. 폴리머 재료는 그 중에서 불소화된 폴리머를 포함할 수 있다. 하드마스크는 티탄 질화물(TiN)과, 비정질 탄소, TaN, 및 SiC 등의 다른 하드 마스크 또는 유사한 재료를 포함할 수 있다. 본 발명의 기술은 본질적으로 임의의 전통적인, 비산화물계 하드마스크를 비롯한 희생성 하드마스크, 금속 하드마스크, 및 일부 산화물 하드마스크의 제거에 적용될 수 있다. 이러한 하드마스크 층은 에칭 프로세스를 향상시켜 로우-k 유전체를 더 소프트하게 하는데 사용될 수 있다. 예시적인 실시형태들에 있어서, 하드마스크 층 조성은, 재료 SixM(1-x)NyOzBw로 구성될 수 있으며, 여기서, M은 개별적으로 Ti, W, Ta, Ge, C를 나타내거나 또는 Ti, W, Ta, Ge, C의 조합을 나타내며, x는 0을 포함하여 1미만이다. 주어진 하드마스크 막은 결정질 또는 비정질일 수 있다.
실리콘, 실리콘 이산화물, 및 로우-k 유전체 기판으로의 패턴 전사는 통상적으로 플라즈마 에칭 툴을 이용하여 수행된다. 통상의 에칭 화학물은 CF4, C4F8, CH2F2, C2F4, C3F6 등과 같은 하이드로플루오르카본을 포함할 수 있다. 웨이퍼 위의 플라즈마 툴 환경에 있어서, 하이드로플루오르카본은 라이칼 및 이온을 중합시키고, 이에 의해 프로세싱 중인 기판 위에 폴리머가 퇴적된다. 폴리머의 퇴적량은, 플라즈마 프로세스 조건을 통하여 및/또는 산소와 같은 산화 종을 플라즈마 챔버에 부가 또는 제거함으로써 제어될 수 있다. 퇴적된 폴리머는 여러 가지 기능을 가진다.
주어진 에칭 프로세스는, 반응종(reactant species)과 기판 사이의 퇴적, 확산, 화학흡착, 표면 및 가스 상(phase) 반응의 밸런스를 가지는 것이다. 폴리머 두께는 또한, 바이어스 전압, 가스 조성, 압력 등과 같은 플라즈마 툴 프로세스 파라미터를 변경함으로써 제어될 수 있다. Si/SiO2의 예시적인 기판 조성으로서, 이러한 기판의 에칭 동안에 동작되는 여러 가지 표면 동역학이 존재한다. SiO2의 플루오르카본 에칭은 중합 및 화학적으로 강화된 스퍼터링에 의존한다. CxFy 패시베이션은 전구체 및 활성 에너지의 전달을 조절할 수 있다. CFx의 화학흡착은 산화물-폴리머 인터페이스에서 복합물(폴리머 최상부 층 아래의 산화물)을 생성할 수 있다. 에칭 전구체 및 생성물은 폴리머 층을 통하여 확산될 수 있다. 일부 기판 스택에 있어서, 이러한 확산은 폴리머를 소비할 수 있다. 그러나, 실리콘 에칭에 있어서, CFx는 소비되지 않을 수 있고, 이에 의해 폴리머 층이 더 두꺼워진다.
스케일링의 요구를 충족시키기 위하여, 크게 플루오르화되거나 및/또는 가교된 폴리머를 퇴적하는 에칭 프로세스가 개발중에 있다. 이러한 가교 및 플루오르화는 주어진 에칭 프로세스의 성능 조건을 충족시키기 위하여 명시되거나 또는 필요하게 되며, 이러한 성능 조건은 프로파일, 에칭율, 에칭 선택도, 및 에칭 균일성과 같은 메트릭을 포함할 수 있다.
전세계의 제조 설비에 있어서, 집적 회로 제조사는, 민감한 로우-k 유전체를 손상시키지 않고, 종래의 화학물 또는 애시(ash) 프로세스로 제거될 수 없거나 또는 제거하기 어려운 에칭후 폴리머를 점점 더 찾는다. 본 출원의 기술은 이러한 크게 가교되고, 분기되고, 그리고 플루오르화된 폴리머를 제거하기 위한 방법을 제공한다. 이러한 세정은 가스 기반 프로세스 및 습식 세정 프로세스를 포함할 수 있다.
에칭후 세정을 위한 부가적인 문제점은 폴리머 퇴적에 기여하는 대응하는 에칭 프로세스에 사용되는 하드마스크를 제거하는 것이 추가적으로 요구된다는 것이다. 트렌치의 종횡비를 감소시키고 건식 프로세스 동안에 패턴 붕괴의 위험을 감소시키기 위하여 습식 세정 동안에 하드마스크를 제거하는 것이 바람직하며, 이는 또한 구리 충전 단계 이후의 화학적-기계적 연마(chemical-mechanical polishing; CMP) 단계에서의 하드마스크 제거를 위한 필요성을 제거할 수 있다. CPM 프로세스는 습식 세정 프로세스보다 더 비싸고, 또한 구조물을 손상시킬 수 있는 연마재를 더 많이 가진다.
본 발명의 시스템 및 방법은 대기 압력 하에서 동작할 수 있는 습식 회전 챔버 내의 자외(ultraviolet; UV)광 노출을 포함한다. 제조에 사용되는 종래의 습식 화합물의 성능은 전자기 방사선 소스의 맞춤화된 선택에 의해 향상될 수 있다. 본 발명의 기술은 세정 프로세스에 사용되는 증기 또는 액체 화학물의 반응성을 증가 또는 확장시킴으로써 열 예산 및/또는 프로세스 횟수를 감소시킨다. 프로세스는 금속 하드 마스크의 제거가 후속하는, 폴리머 막의 순차적인 제거를 포함한다. 대안적인 프로세스는 폴리머 막 및 금속 하드 마스크의 동시 제거를 포함한다.
일 실시형태는 기판을 세정하는 방법을 포함한다. 이 방법은 세정 시스템 내에 기판을 수용하는 단계를 포함한다. 세정 시스템은 습식 세정 시스템, 프로세싱 챔버, 및 유체 전달 서브시스템을 포함한다. 기판은 하부층 상에 퇴적된 하드마스크 층, 및 그 하드마스크 층을 적어도 부분적으로 덮는 폴리머 막을 포함한다. 프로세스 가스 혼합물은 반응성 산소종이 형성되도록 자외(ultraviolet) 방사선으로 조사된다. 기판은 반응성 산소종이 화학적으로 폴리머 막을 변경하도록 반응성 산소종을 가진 프로세스 가스 혼합물에 노출된다. 추가적인 단계들은, 프로세싱 챔버 내의 기판 홀더 상의 기판을 회전시키는 단계와, 기판이 회전되는 동안에 기판 상에 과산화수소 함유액 혼합물을 퇴적시키는 단계를 포함한다.
따라서, 폴리머 막 및 하드마스크 층은 양쪽이 기판으로부터 세정될 수 있다. 본 발명의 기술은 종래의 TiN 하드마스크 박리 기술과 비교해서 TiN 하드마스크 막의 박리율을 100% 만큼 상승시키는 것으로 증명되었다. 종래에는, 과산화수소(H2O2)를 함유하는 용액이 상승 온도에서 회전중인 웨이퍼 상에 분배되는 습식 에칭 공정을 이용하여 로우-k 막으로부터 하드마스크를 제거하였다. H2O2는 하드마스크와 반응하여 하드마스크를 용해시키지만, 기판 상에 하부막과 구조물을 남긴다. 이러한 세정 프로세스의 결점은 이러한 종래의 프로세스가 한번에 하나의 웨이퍼를 처리한다는 것이며, 웨이퍼(예컨대, 직경이 300 mm인 웨이퍼)로부터 주어진 TiN 하드마스크를 세정하는 데에는 약 6분이 걸릴 수 있다. 이렇게 비교적 긴 처리 시간은 수율 및 효율을 감소시킨다. 이에 따라, 본 발명의 개선점은, TiN 박리 화학물(chemistry)의 처리 온도를 낮추고, 사용되는 화학물의 양을 줄이고, 박리 툴의 수명 및 화학물의 수명을 연장시키고, 다른 방법으로는 종래의 습식 세정 화학물을 이용하여 제거될 수 없는 폴리머 막을 포함하여 이러한 폴리머 막이 제거되게 함으로써, 에칭후 세정 툴의 생산성(productivity)을 향상시키는 데에 있어서 유리하다.
물론, 여기에서 설명하는 바와 같이 상이한 단계들의 설명 순서는 명확함을 위해 제시되어 있다. 일반적으로, 이들 단계는 임의의 적합한 순서로 수행될 수 있다. 부가적으로, 본 발명의 상이한 특징, 기술, 구성 등의 각각이 본 개시내용의 상이한 개소에서 설명될 수도 있지만, 그 개념들 각각은 서로 독립적으로 또는 서로 조합되어 실행될 수 있는 것이 의도된다. 따라서, 본 발명은 많은 상이한 방식으로 구현되어 보여질 수 있다.
이러한 개요 부분에서는 본 개시내용 또는 청구하는 발명의 모든 실시형태 및/또는 점차적으로 새로운 양태를 명시하지 않는다는 점에 주목해야 한다. 그 대신에, 이러한 개요는 상이한 실시형태들의 예비 설명 및 종래의 기술을 능가한 대응하는 새로운 점을 제공한다. 본 발명 및 실시형태의 추가 상세 및/또는 가능한 견지에 대해서는, 이하에서 더 설명하는 본 개시내용의 구체적인 설명 부분 및 대응하는 도면을 참조하면 된다.
본 발명의 다양한 실시형태에 대한 보다 완전한 이해 및 뒤따르는 많은 장점들은 첨부 도면과 함께 고려되는 이하의 상세한 설명을 참조함으로써 쉽게 명백해질 것이다. 도면은 반드시 일정한 축적으로 되어 있지 않으며, 대신에 특징, 원리 및 개념을 예시할 때에 강조되어 있다.
도 1은 본 발명의 실시형태에 따른 예시적인 프로세스의 흐름도이다.
도 2는 프로세스 가스 UV 조사(irradiation)를 나타내는 본 발명의 실시형태에 따른 예시적인 세정 시스템의 개략적인 단면도이다.
도 3은 분배된 액 UV 조사를 나타내는 본 발명의 실시형태에 따른 예시적인 세정 시스템의 개략적인 단면도이다.
도 4는 본 발명의 실시형태에 따른 패터닝된 특징부들 상에 하드마스크를 가지는 기판 세그먼트의 단면도이다.
도 5는 본 발명의 실시형태에 따른 패터닝된 특징부들 상에 하드마스크 및 폴리머 코팅을 가지는 기판 세그먼트의 단면도이다.
본 발명의 기술은 대기 압력 하에서 동작할 수 있는 자외(UV)선 노출 습식 스핀 챔버를 이용하기 위한 방법을 포함한다. 적어도 2개의 프로세스 모드가 사용을 위해 선택될 수 있다. 제1 프로세스 모드에서, 기판은 전자기 방사선에 노출된다. 기판은 기판의 표면 상에 액체가 없이 건조된 상태이다. 기판은 정지 또는 회전될 수 있다. 분위기는 몇몇 옵션을 가질 수 있다. 분위기[에어, 세정 건식 에어, 산소, 낮은 산소 대기(0.1 ppm보다 크지만 21% 미만) 또는 과산화물 증기, 과산화아세트산 증기, 아세트산 증기 또는 기타 휘발성 과산소(peroxygen) 화합물]는 산화될 수 있다. 분위기는 환원 환경(더 낮은 폭발성 한계 아래의 수소 함유 대기, 더 낮은 폭발성 한계 아래의 암모니아 환경)일 수 있다. 대기는 산화제와 환원 가스의 조합일 수 있다. 대안적으로, 분위기는 비활성 가스 환경(ambient)일 수 있다. 안전을 위하여 각 구성요소의 농도는 각각의 개별 구성요소에 대한 낮은 폭발성 한계 아래에 있어야 한다. 분위기는 기판을 25℃ 내지 400℃[바람직하게는 350℃ 미만으로, 더 바람직하게는 200℃ 미만으로]의 온도로 가열한 상태의 비활성 대기일 수 있다.
제2 프로세스 모드 옵션에서, 기판은 사용중인 전자기 방사선의 하나 이상의 파장에 감광성적인 액체에 의해 젖게 되는 동안에 기판은 전자기 방사선에 노출된다. 예컨대, 과산화수소수는, 850 nm 미만[실제로는 420 nm 미만, 그리고 바람직하게는 약 254 nm 이하]의 파장을 가진 광에 의해 2개의 히드록실 라디칼로 분리될 수 있다. 아세트산, 말레산, 메틸 에타노에이트, 과포름산, 및 과산화아세트산과 같은 다른 과산화 용액들 중 어느 용액이 또한 사용될 수 있다. 희석 암모니아, (암모늄 수산화물 용액부터의) 암모늄 이온 또는 다른 1차, 2차 또는 3차 아민의 용액은, 이하의 다이어그램에 도시된 바와 같이 수소 및 NHx 종 및 질소로 분리될 수 있다. 190 nm 초과의 파장은 임의의 노출된 로우-k 유전체에 대한 손상을 방지하는 것이 바람직하다. 본 발명에 설명된 프로세스는, 적어도 3 가지 이점 즉, (i) 산화 대신에 임의의 유기 폴리머 재료를 환원시키기 위하여 대안적인 반응 메카니즘을 제공하는 점, (ii) 노출된 금속의 부식을 방지하는 것 및/또는 수소의 발생과 함께 금속 산화물을 다시 금속으로 환원시키는 점, 및 (iii) 액체/기판 표면에서의 잔류 산소를 제거하고 형성된 임의의 구리 산화물(CuOx)을 Cu 금속으로 다시 환원시킴으로써 구리(Cu) 부식을 방지하도록 탈이온수/NHx 함유 린스 린스 용액의 성능을 향상시키는 점을 포함한다.
이제 도 1을 참조하면, 기판을 세정하기 위한 예시적인 프로세스 흐름의 흐름도가 도시된다. 단계 110에서, 기판(205)은 도 2-3에 도시된 것과 같은 클리닝 시스템(200)에 수용된다. 기판(205)은 반도체, 플랫 패널, 웨이퍼 등을 포함할 수 있다. 세정 시스템(200)은 습식 세정 시스템(210), 처리 챔버(220), 및 유체 전달 서브시스템을 포함한다. 유체 전달 서브시스템은 기판(205)을 향햐여/가로질러 프로세스 가스를 향하게 하도록 구성되는 프로세스 가스 도관(223)을 포함할 수 있다. 여러가지 증기 전달 옵션이 이용가능하며, 대안적인 구성들은예컨대 기판 위의 포인트로부터 수직으로 기판을 향하여 프로세스 가스 혼합물을 흘릴 수 있다는 점에 주목해야 한다. 습식 세정 시스템(210)은 기판(205)의 표면 위에 액체 화학물을 분배하기 위한 노즐(211)을 포함할 수 있다. 이 노즐(211)은 공급 파이프(212)를 통하여 유체 전달 서브시스템에 연결될 수 있다. 노즐 암(213)은 가이드 레일(214) 상에 수평으로 이동가능하게, 또는 회전 이동가능하게 될 수 있는 수직 지지 부재(215) 상에 탑재될 수 있다. 기판(205)은 기판(205)을 기판 홀더(202) 상에 배치할 수 있는 전달 부재(도시되지 않음)를 통해 세정 시스템(200) 내에 수용될 수 있다. 기판 홀더(202)는 주어진 회전 속도로 기판 홀더(202)를 회전시키도록 구성된 구동 모터(203)를 포함할 수 있다.
세정 시스템은 기판을 향하여 UV 광을 조사하도록 구성된 UV 광원(250)을 포함할 수 있다. UV 광원(250)은 프로세스 가스 혼합물, 분배된 유체, 및/또는 기판(205)을 조사하도록 구성될 수 있다. 여러 가지 UV 광원은 종래에 이용가능하며 본 발명의 방법들을 사용하기 위하여 선택될 수 있다. UV 광원은 필요에 따라서 필터들이 사용되는 좁은 스펙트럼 소스들 또는 넓은 범위의 소스일 수 있다. 예컨대, 주어진 하부 재료가 로우-k 유전체이면, 230nm 초과의 파장의 전송을 허용하는 UV 필터를 가지는 것이 유익하다. 즉, 방법들은 UV 방사선을 230 나노미터 아래로 필터링하는 것을 포함할 수 있다. 다른 예에 있어서, 광대역 UV 소스(160nm-1100nm 파장)은 특정 파장 아래 및/또는 특정 파장 위의 조명을 차단하거나 또는 단지 특정 파장들만을 통과시키도록 허용하는 특정 필터들을 가질 수 있다. 비제한적인 예로서, 처리들은 기판 표면으로부터 약 5 cm에 위치되는 UV 소스를 사용하여, 대략 4 mW/cm2보다 더 큰, 또는 800 mW/cm2보다 더 큰 강도를 가진 UV 전자기 방사선을 사용하여 조사하는 것을 포함할 수 있다. 노출량은 특정 애플리케이션 또는 세정 프로세스에 따를 수 있다. 다른 실시형태들에 있어서, 단위 면적당 UV 강도는, 조사없는 상태에서의 하드마스크의 박리율과 비교하여 대략 25%보다 크게 하드마스크 박리율을 증가시키기에 충분하다.
옵션적인 가스 확산 플레이트(도시되지 않음)는 UV 광원(250)과 기판 홀더(202) 사이에 위치될 수 있다. 이러한 가스 확산 플레이트는, 특히 UV 하드웨어가 기판(105)에 가까이 근접해 있을 때, 습식 화학물 노출로부터 UV 하드웨어를 보호할 수 있다. 도 2는 기판 홀더(203)로부터 현저한 거리에 있는 UV 광원(250)을 나타내며, 이러한 UV 광원은 편의상 개략적으로 묘사된 세정 시스템(200) 내에 있다는 점에 주목해야 한다. 실제 실시형태에서, UV 하드웨어는 주어진 기판 표면으로부터 수 센티미터 떨어져 위치될 수 있어, 가스 확산 플레이트 또는 유사한 메카니즘으로부터 이익을 얻을 수 있다. 가스 확산 플레이트는 사용하기를 원하는 특정 파장 또는 파장 범위에 기초하여 특정 파장을 흡수하는 UV 투과 재료 또는 재료로 구성될 수 있다. 시스템 컨트롤러(도시되지 않음)은 기판 세정 시스템에 결합될 수 있고, 기판의 회전 속도, UV 조사, 및 처리액 전달을 제어하도록 구성될 수 있다.
기판은 도 4에 나타낸 바와 같이 하부층(244) 상에 퇴적된 하드마스크(242)를 포함할 수 있다. 도 4는 예시적인 기판 세그먼트의 단면도이다. 하드마스크(242)는 마스크 패턴을 하부층(244)에 전사하는데 사용될 수 있다는 점에 주목해야 한다. 예시적인 기판은, 로우-k 특징부들의 최상부 상에, TiN 하드마스크 즉, 다른 하드마스크(242)를 가진 울트라 로우-k 특징부들을 가질 수 있다. 이는 이러한 금속 하드마스크이며, 금속 하드 마스크를 제거하기 위하여 특정 세정 프로세스가 명시될 수 있다. 세정 프로세스의 목적 또는 명세는, 예컨대 로우-k 유전체 재료와 같이, 손상되는 하부 재료를 남기기 위한 것일 수 있다. 하드마스크 층(242)은 로우-k 재료와 비교하여 더 큰 밀도를 가지는 층 또는 막일 수 있다. 이러한 하드마스크 층(242)은 에칭 프로세스들을 향상시켜 로우-k 유전체를 더 소프트하게 만드는데 사용될 수 있다. 예시적인 실시형태들에서, 하드마스크 층 조성은 재료 SixM(1-x)NyOzBw 로 구성될 수 있으며, 여기서 M은 개별적으로 Ti, W, Ta, Ge, C 또는 Ti, W, Ta, Ge, C의 조합을 나타내며, x는 0을 포함하여 1 미만이다. 주어진 하드마스크 막은 결정 또는 비정질 상태에 있을 수 있다. 하드마스크는 티탄 질화물(TiN), 탄탈 질화물(TaN), 실리콘 탄화물(SiC), 및 비정질 탄소 중 하나 이상을 이용한 금속 하드마스크 층을 포함할 수 있다. 기판은 또한 도 5에 도시된 바와 같이, 하드마스크 층(242) 및/또는 하부 층(244)을 덮는, 폴리머 막(246)으로서의 폴리머 재료를 가질 수 있다. 폴리머 막(246)은 종래의 습식 세정 화학물로 제거하기에 어려운 에칭후 플리머 잔류물 및 폴리머 재료를 포함할 수 있다.
단계 120에서, 프로세스 가스 혼합물은 반응성 산소종이 형성되도록 자외 방사선으로 조사된다. 도 2를 참조하면, 프로세스 가스 도관(223)으로부터 기판(205)을 향햐여 및/또는 기판(205)을 가로질러 흐르는 프로세스 가스 혼합물(227)이 도시된다. 프로세스 가스 혼합물(227)의 이러한 흐름 동안에, UV 광(251)이 프로세스 가스 혼합물(227)에 조사되어 반응성 산소종을 생성한다. 단계 130에서, 기판(205)은, 예컨대 기판(205)의 최상부 표면과 접촉하도록 반응성 산소종을 흐르게 함으로써, 반응성 산소종을 가진 프로세스 가스 혼합물에 노출된다.
종래의 산화 애시 프로세스는 에칭후 폴리머를 화학적으로 변경하고 이러한 에칭후 폴리머의 제거를 도울 수 있지만, 하부의 로우-k 유전체를 손상시키고 노출된 금속 표면을 산화시킬 수 있다. 주어진 종래의 패터닝 프로세스에 있어서, 반응성 이온 에칭은 릴리프 패턴을 전사하도록 실행된다. 퍼플루오르화된 에칭 화학물(예컨대, CH4, CF4, CH2F2 등)은 에칭 전사 동안에 패시베이션/보호를 제공하도록 포함된다. 다음으로, 종래에, 환원 또는 약산화 애시 단계(N2/H2, NH3, CO, CO2 등)은 손상을 최소화하기 위하여 원격 플라즈마 소스를 이용하여 실행된다. 최종적으로, 예컨대 희석된 플루오르화 수소산, 유기 용매, 및 전매특허의(proprietary) 용제 혼합물을 이용함으로써, 에칭후 습식 에칭 단계가 실행된다. 이러한 종래의 프로세스를 이용하는 것의 위험은, 금속 산화물 층의 부가적인 성장을 개시하는 것이다. 이러한 프로세스가 가진 다른 문제점은, 프로파일 제어를 위하여(예컨대, 휨, 테이퍼화된 프로파일을 방지) 그리고 플라즈마와 화학적 손상으로부터 로우-k 유전체를 보호하기 위한 에칭 프로세스 동안에, 가교가 증가되고 높게 플루오르화된 에칭후 폴리머들이 적층된다는 것이다.
다른 실시형태에서, 프로세스 가스 혼합물은 환원 가스를 함유한다. 그 후에, 방법은 반응성 환원종이 형성되도록 환원 가스를 조사하는 단계를 포함한다. 그 후에, 기판은 반응성 환원종을 가지는 프로세스 가스 혼합물에 노출될 수 있다. 다른 실시형태들은, 가스를 산화시키는 것과 가스를 환원시키는 것의 혼합물, 게다가 가스를 산화시키는 것과 가스를 환원시키는 것의 교번적인 흐름을 포함할 수 있다. 일 실시형태에 있어서, 산화 가스를 기판에 조사하고 그 기판을 산화 가스에 노출시키고 이어서 기판에 환원 가스를 조사하고 그 기판을 환원 가스에 노출시킨다. 이러한 시퀀스는 습식 세정 단계를 실행하기 이전에 반응성 산소종으로부터 기인하는 구리 부식을 방지하거나 이러한 구리 부식을 제거하는 것을 도울 수 있다.
그러나, 본 명세서에서의 방법들은, 임의의 현저한 손상 또는 다른 재료의 재료 손상(예컨대, 다른 재료는 2.6 미만의 k 값을 가진 다공성 로우-k 유전체를 포함할 수 있음)없이, 에칭 후 폴리머의 선택적인 화학적 변경을 가능하게 하고, 임의의 노출된 금속 산화물을 금속으로 다시 환원시킬 수 있다. 이러한 화학적 변경은 또한 하부 금속 와이어들에 연결되는 비아들이 개방되는 비아 개방 프로세스에서 가치가 있을 수 있다. 이러한 특정 애플리케이션에서, 하부 금속 표면이 노출되고, 로우-k 유전체를 손상시키거나 또는 추가적으로 노출된 Cu/CuOx를 산화시키지 않고 폴리머가 제거되어야 한다.
일 실시형태에 있어서, 퍼플루오르화된(perfluorinated) 에칭 화학물을 이용한 반응성 이온 에칭 이후에, 산화 분위기 및/또는 프로세스 가스 혼합물이, 예컨대 180-400 나노미터 UV 광과 같은 UV 방사선으로 조사된다. 프로세스 가스 혼합물은 산소, 에어 및 클린 드라이 에어를 포함할 수 있다. 프로세싱 챔버는 진공 또는 대기 압력에 있을 수 있다. 이러한 가스 처리에 후속하여, 환원 분위기는 이와 유사하게 조사될 수 있다. 이러한 환원 가스는 대략 최대 25%의 수소를 가지는 상태로 형성 가스, 및/또는 질소를 포함할 수 있다. 산화 분위기(atmosphere) 및 환원 분위기의 시퀀스는 전환될 수 있다는 점에 주목해야 한다. 일부 실시형태에 있어서, 산화 프로세스 가스 혼합물은, 각 가스 혼합물이 조사된 상태로, 환원 프로세스 가스 혼합물의 흐름을 이용하여 사이클화(교번)될 수 있다. 다른 실시형태에서, 산화 프로세스 가스 혼합물은 환원 프로세스 가스 혼합물과 결합될 수 있다. 그 후에, 조사된 프로세스 가스 혼합물들에 노출 또는 노출들에는 습식 세정이 후속될 수 있다.
형성 가스는 350℃를 초과하는 온도에서 산화물을 제거하는데 사용될 수 있으며, 이러한 온도는 BEOL 제조 프로세스에 대한 일반적인 열 예산에 또는 그 부근에 있다. 환원 분위기에서 UV 광으로 샘플들을 조사함으로써, 열 예산은 현저하게 감소될 수 있다. 특정 예로서, 185 nm 및/또는 254 nm 주위의 파장들을 이용하면, 특정 기판들에 대한 열 예산을 향상시킬 수 있다. 예컨대, 이러한 온도 감소는 약 실온까지의 감소를 포함할 수 있다. 프로세스 가스 혼합물의 순서는, 예컨대, 비아 개방 프로세스 동안에 비아 바닥부에서 스퍼터링되는 Cu 잔류물의 범위에 따라서, 옵션적으로 전환될 수 있다. 주어진 사후 UV 세정의 화학물에 따라서, CuO 또는 Cu2O 형태 (Cu(I) 또는 Cu(II) 산화물)에 있는 것은 스퍼터링된 Cu 잔류물에 대하여 유익할 수 있다.
하나의 대안적인 실시형태는 에칭후 폴리머 잔류물을 화학적으로 변경하기 위하여 UV 조사된 환원 프로세스 가스 혼합물만을 이용한다. 이러한 화학적 변경은, 불소 제거, 케톤의 알콜로의 환원, 알데히드, 에스테르와 카르복실산의 1차 알콜로의 환원을 포함할 수 있다. 이후에, 습식 세정 또는 UV 조사된 습식 세정이 실행될 수 있다. 이러한 실시형태는 환원 플라즈마 애시 프로세스를 위한 대체물로서 기능할 수 있다. 본 명세서의 이러한 기술에 대하여, 폴리머 막(246)은 하부층(244)을 손상시키지 않고 화학적 및 물리적으로 변경된다. 다른 대안적인 실시형태는, 수소/산소/비활성 분위기에서 기판을 185/254nm UV 광에 노출시키는 것을 포함하며, 여기서 수소 농도는 저폭발성 한계(lower explosive limit; LEL) 아래에 있다. 비활성 가스는 질소, 아르곤, 헬륨 등으로서 선택될 수 있다.
본 발명의 여러 가지 실시형태에 있어서, 환원 분위기는 대기 압력에 있을 수 있다. 실질적인 이유 및 안전의 이유로, 환원 분위기는, 희석 가스에 대하여 인화성 한계 아래의 수소 분위기를 가질 수 있다. 예컨대, 수소 및 질소의 혼합물은, 수소 함량이 5.5% 미만이면 인화성 위험을 제기하지 않는다. 약 5% 아래의 수소 혼합물은 희석 가스가 비활성이면 오픈 에어 내에서 불타지 않는다.
이에 따라서, 습식 세정 프로세스를 대체하는 대신에, 주어진 폴리머 막은 후속 습식 세정의 성능을 향상시키기 위하여 선택적으로 변경될 수 있다. 프로세스 가스 혼합물을 조사함으로써, 반응성 종이 형성되고, 이는 예컨대 오존 및 싱글렛(singlet) 산소를 포함할 수 있다.
본 명세서에서의 기술을 이용하면 종래에 제거될 수 없었던 또는 종래에 하부 기판에 대한 손상없이 제거될 수 없었던 막의 손상없는 제거를 가능하게 한다. 다른 이점은, 본 명세서의 기술은 종래의 기술과 비교하여 폴리머 막을 제거하기 위하여 화학물의 양 및/또는 세정 프로세스 시간을 감소시킨다는 점이다. 이러한 기술의 다른 이점은 폴리머 및 금속 산화물 환원 프로세스의 열 예산을 감소시키는 것이다. 이러한 온도 감소는, 단지 하나의 UV 소스(185/254nm)를 이용하여 고성능의 습식 세정을 가능하게 하도록 금속 산화물 환원 상태에서 유기 잔류물 변경을 제공한다. 고성능 습식 세정은, 완전한 유기 잔류물/막 제거, 금속화 금속(예컨대, Cu)의 제로 금속 손실에 대한 저하(2 nm 미만), 및 간단한 양성 화학물, 및 배치(batch) 또는 단일 웨이퍼 프로세스에 대한 짧은 프로세스 시간을 포함할 수 있다.
본 명세서에서의 방법은 또한 습식 세정 처리 이전에 또는 그 이후에 선택적으로 구리 산화물을 환원시키는 것을 도울 수 있다. UV 조사는, 습식 스피너 챔버 내에 또는 전용 UV 기판 조사 챔버 내에서 습식 세정 플랫폼 상에서 실행될 수 있다. 린스 화학물은 용해된 암모니아 또는 암모늄 이온 또는 UV 방사선을 이용하여 분리될 수 있는 다른 1차, 2차 또는 3차 아민을 포함할 수 있다. 종래의 교시는, 구리 산화물을 환원시키기 위하여 172 nm 진공 UV 광을 이용하는 것을 제안하지만, 이러한 교시는, 막이 개방 경화되고 172 nm 방사선을 이용하여 가교되기 때문에 문제시 될 수 있다. 그러나, 본 명세서의 방법은 폴리머를 변경시키고, 유익한 새로운 프로세스로서 Cu 산화물을 Cu 금속으로 환원시킬 수 있다. 이는 주어진 유기 잔류의 벌크를 제거하기 위하여 습식 세정과 결합될 수 있다. 종래의 교시는 또한 약 최대 10 시간의 프로세스 시간에 대하여 254 nm 광의 사용을 제안한다. 이러한 긴 프로세싱 시간은 반도체 디바이스의 하이-볼륨 제조에 실용적이지 않다. 본 명세서에서의 발견은 조사를 위하여 듀얼 파장 소스 UV 광을 이용하는 것을 포함한다. 예컨대, 저압 Hg 전구는 종래의 프로세싱 시간을 감소시킬 수 있다.
하나의 예시적인 애플리케이션은 BEOL 제조 동안의 구리 비아 세정을 포함한다. 이러한 애플리케이션에서, 제1 유전체 층 또는 다공성 유전체 층 내에 구리 라인이 존재한다. 제2 유전체 층은 제1 유전체 층 상에 적층되고, 기판 상의 특정 위치에서 구리 라인 또는 포인트를 언커버하기 위하여 상기 제2 유전체 층을 통하여 에칭하도록 상기 제2 유전체 층 상의 하드마스크가 패터닝된다. 제1 유전체 층 내의 구리 표면을 언커버하기 위한 이러한 에칭 프로세스 동안에, 여러 가지 구리 산화물종은 구리의 표면 상에 형성될 수 있다. Cu 금속 손실없이 이러한 산화물을 제거하고, 또한 유전체 손실없이 유전체 측벽 상의 에칭후 폴리머를 제거하는 것이 바람직하다.
이에 따라서, 본 명세서의 기술은 폴리머 및 하드마스크 막을 제거하기 위한 복수의 대안적인 방법을 포함할 수 있다. 하나의 실시형태에서, 폴리머 잔류물 막 및 로우-k 층을 가진 하드마스크를 가진 기판은, 이전에 설명된 바와 같이 UV 처리된 에어에 노출된다. 에어는 일부 산소 또는 수증기를 포함한다. UV 조사는 오존 및/또는 싱글렛 산소를 생성한다. 그 후에, 이러한 반응성 구성요소는 폴리머 막과 반응하고, 그 폴리머의 접촉 각도를 변경시킬 수 있다. 접촉 각도가 변경됨에 따라서, 습식 세정 프로세스(종래의 폴리머 제거 화학물을 이용함)는 폴리머를 제거하는데 사용될 수 있다.
단계 140에서, 기판(205)은 프로세싱 챔버(220) 내의 기판 홀더(202) 상에서 회전된다. 단계 150에서, 과산화수소 함유액 혼합물은 기판이 회전하는 동안에 기판 상에 공급된다. UV 조사된 프로세스 가스 노출과 결합된, 이러한 습식 세정 단계는, 유전체 층에 대한 손상없이 폴리머 및 하드마스크 재료를 제거하기 위한 유효한 세정 기술을 제공한다.
대안으로, 습식 세정 프로세스는 제거 시간을 감소시키고/시키거나 프로세스 온도를 감소시킴으로써 하드마스크 제거를 향상시키는 UV 조사를 포함할 수 있다. 또 다른 대안적인 실시형태에서는, 제1 UV-처리된 공기 노출이 실행된 후, 이 반응성 공기 노출에 이어서 폴리머 잔류물 및 하드마스크의 동시적인 제거가 실행된다. 동시적인 제거는 폴리머 용매를 포함하는 과산화수소 액을 사용하여 실행될 수 있으며, 이러한 화합물 용액 또는 혼합물은 UV 방사선에 의해 조사되어 하드마스크 제거를 가속화하게 되고 동시적으로 폴리머 막 잔류물을 용해시키게 된다. 기능적인 세정 및 린스와 같은 부가적인 단계가 후속될 수 있는데, 이는 구리 산화물을 구리 등으로 환원시킨다.
본 명세서의 기술은 기판을 세정하기 위한 시스템 및 방법을 포함한다. 방법은 과산화수소와 자외선(UV) 조사의 조합된 처리를 포함한다. 구체적인 실시형태는 묽은 과산화수소 액의 액체 필름 하에 침지된 회전 기판의 저압 수은 UV 램프로부터의 185/254 nm 광에 의한 직접 조사를 포함한다. 하나의 예시적인 결과에서, 254 nm파장 UV 광을 사용하여 12 mW/cm2의 광 강도를 갖는 약 185/254 nm 파장 UV 광으로 20 중량% 과산화수소의 과산화수소 액을 조사하는 것은, UV 조사 없이 동일 과산화수소 액에 의한 처리와 비교할 때 TiN 박리 속도를 약 100% 개선시킨다.
본 명세서의 기술은 실온에서 TiN제거를 25%-100% 이상으로 증가시킬 수 있다. 증가된 제거 속도는 또한 고온에서 발생하고 또한 다른 세정 구성요소와 혼합된 과산화수소에 의해서도 발생한다. 종래의 화학 공급업자는 과산화수소와 혼합되어 에칭후 폴리머 제거 및 질화티탄 하드마스크 제거를 가능하게 해야 하는 원 스텝 BEOL(back-end-of-line) 클리닝 화학물을 판매한다. 이러한 종래의 BEOL 클리닝 화학물은 본 명세서의 기술에 의해 사용될 수 있다. 본 명세서에서 강화된 제거 기술의 몇 가지 대안적인 실시형태가 존재한다. 예를 들면, 2 단계 프로세스가 또한 이용될 수 있다. 이러한 대안적인 프로세서에서는, 우선 폴리머 제거 단계가 실행되고, 이어서 묽은 과산화수소 함유 용액을 주어진 기판에 도포하는 제2 단계가 후속된다.
종래의 세정 프로세스(종래의 스핀온 화학반응)을 실행할 때에, 약 10분의 세정(용액이 기판 상에 분배되는 동안 기판 홀더 상에서 회전) 후에 TiN 하드마스크의 50%가 제거되는데, 이것은 25℃에서 20% H2O2 용액을 사용한 것이다. 그러나, 본 발명의 기술에 따르면, 20% H2O2 용액을 분배하고, 웨이퍼 회전 속도는 2000 미크론 미만의 용액 막이 기판의 표면 상에 있도록 제어될 수 있다. 이렇게 비교적 얇은 막으로 기판을 덮으면서, 과산화수소액은 대략 185-500 나노미터 사이의 파장을 갖는 UV 전자기 방사선으로 조사된다. 세정 프로세스 및/또는 용액의 온도는 약 25℃로 유지될 수 있다. 이 처리 프로세스로 TiN 하드마스크가 약 97% 제거된다.
다른 실시형태에 있어서, 1 중량% 내지 35 중량%의 과산화수소액은 0℃ 내지 80℃ 범위의 온도에서 이용될 수 있다. 일부 실시형태에 있어서, 성능은 웨이퍼 상의 가장 얇은 연속 액막을 생성함으로써 최적화될 수 있다. 일부 실시형태에 있어서, 액막은 2000 미크론 미만이고, 다른 실시형태에 있어서는 그 두께가 200 미크론 또는 심지어 20 미크론 미만이다. 분배 시스템 및 회전 속도 양쪽을 제어하는 것은 원하는 막 두께를 달성하는데 사용될 수 있다. 이에 따라서, 용액은 처리 기간 중에 계속해서 분배 및 조사된다. 산소가 TiN막에 혼입되어 불용성 티탄 산화물(TiO2)을 형성하는 것을 막기 위해 기판을 계속해서 젖은 상태가 되게 하는 것이 중요하다. 과산화수소액에서의 TiN의 산화는 TiN을 용해성 [Ti(O2)(OH)n]종으로 변환시킨다. 복수의 기판이 소수성 표면을 구비하고 이에 따라서 회전이 연속막을 유지하는데 유용하다는 점에 주목해야 한다. 회전은 또한 친수성 기판 상에도 이용될 수 있다.
본 명세서의 기술과 호환될 수 있는 용액은, 35 중량% 미만의 과산화수소 부분을 가질 수 있다(안전 문제가 더 높은 퍼센티지 중량에 대하여 발생할 수 있음). 종래의 용액은 5 중량% 주위에서 동작할 수 있다. 본 명세서의 기술에 대하여, 과산화수소의 더 높은 중량 퍼센티지는 에칭율을 향상시킬 수 있다. 일부 실시형태에 있어서, 과산화수소 중량 퍼센티지는 15% 내지 25%일 수 있다. 과산화수소 용액(225)은 안정된 흐름으로 또는 용액을 펄스 방식으로 분배될 수 있다. 용액은 펄스 방식에 의해 분배될 수 있지만, 기판이 과산화수소 용액으로 연속적으로 덮히도록 펄싱은 충분한 용액을 분배한다. 즉, 펄싱은 기판이 펄싱된 분배 동안에 연속적으로 젓음 상태에 있음 보증하기에 충분한다. 건식 스폿은, 기판의 주기적인 건조가 입자의 상승, 결합, 및 패턴 붕괴를 야기할 수 있다. 건식 스폿을 피하기 위한 다른 이유는 불용성 TiO2에 직접적인 UV 방사선에 의해 산화되는 TiN 하드마스크의 위험을 피하기 위한 것이다.
과산화수소 액(225)을 분배하는 것은, 부식 방지 혼합물을 과산화수소 액과 혼합하는 것을 포함할 수 있다. 이러한 용액은 구리의 부식을 방지하고, 용액 내의 금속 종을 유지한다. 용액은 또한 제거중인 특정 금속막을 향하여 타겟화된(배스 수명을 연장하기 위한) 하나 이상의 킬레이트제를 함유한다. 용액은 또한 산성 용액 또는 염기성 용액 중 어느 하나에 대하여 pH 버퍼를 포함할 수 있다. 다른 대안적인 실시형태는, 폴리머 잔류물 제거를 돕는 용제, 또는 금속 킬레이트제를 포함할 수 있다.
카로 산(H2SO5)의 분해 및 과산화수소의 목표 광분해에 대하여 광의 파장을 이용한 황산 및 과산화수소의 혼합물(SPM)은, 각각 중황산염(HSO4) 및 히드록실(OH) 라디칼
Figure 112016060302282-pct00006
HSO4 및
Figure 112016060302282-pct00007
OH를 생성하는데 사용될 수 있다. 액상 전자기 방사선 활성화된 프로세스의 성공은 임의의 짧은 수명종(예컨대, 히드록실 라디칼은 2 마이크로초의 수명을 가짐)이 기판과 반응하고, 액체 필름 내의 다른 구성요소와는 반응하지 않음을 보증하기 위하여 웨이퍼 상의 얇은 액체 필름을 확보함으로써 증가된다.
표면 제조 프로세스에서, 기판을 적절하게 프로세싱하기 위하여 순차적인 프로세싱을 이용하는 것이 공통적이다. 예를 들어; (1) 황산 과산화물 혼합, 인산, 황산 오존 혼합을 이용한 벌크 재료 박리(유기 또는 무기 막), (2) 유기 잔류물 제거[SC1(NH4OH:H2O2:DIW), SPM, DIW(de-ionized water)/Ozone], (3) SC2(HCL:H2O2:DIW), 묽은 염산을 이용한 금속 오염, (4) 표면 패시베이션[DIW/오존 또는 Si의 OH 종료를 위한 SC1 또는 Si의 H 종료를 위한 묽은 HF], (5) 린싱[충전 결함을 방지하기 위한 DIW, DIW/CO2, 충전 결함 및 금속 부식을 방지하기 위한 DIW/NH3 또는 DIW/NH4OH]
본 명세서의 기술들은 클리닝 프로세스에 사용되는 증기 또는 액체 화학물의 반응성을 증가 또는 확장시킴으로써 열 예산 및/또는 프로세스 횟수를 감소시킨다. 프로세스들은 금속 하드 마스크의 제거가 후속하는 폴리머 막의 순차적인 제거를 포함할 수 있다.
또한, 본 명세서에서의 프로세스들은, 프로세싱 온도들을 감소시킬 수 있다. 열 예산은 중요한 파라미터일 수 있다. 이는 기판 사이즈들이 확장될 때 회전 기판 상의 온도 손실이 현저하기 때문이다. 예컨대, 65℃에서 분배되는 탈이온수(de-ionized water; DIW)는, 300 mm 웨이퍼의 경우에서와 같이 중앙으로부터 150 mm 떨어져서 59℃로 냉각시킬 수 있고, 450 mm 웨이퍼의 경우에서와 같이 기판의 중앙으로부터 225 mm 떨어져서 54℃로 냉각시킬 수 있다. 이러한 특정 예에 있어서, 스핀 속도는 23℃의 기온에 대하여 1000 rpm이었다. 온도 손실은 또한 이것이 회전중인 기판의 중앙으로부터 에지까지의 불균일한 클리닝 또는 에칭을 야기하기 때문에 중요하다. 그러나, 본 명세서에서의 기술들은 리사이클된 화학물 수명을 연장시킨다. 시간의 함수로서 분해되는 화학 종을 함유하는 화학물들은 더 낮은 온도에서 더 오래 사용될 수 있다. 리사이클된 화학물의 예들은 SC1(NH4OH:H2O2:DIW), 희석 수소 과산하물, 및 SOM(sulfuric ozone mixture)이다. 웨이퍼 표면 상의 프로세스 증기 또는 유체를 산화시키거나 및/또는 이들의 성질을 환원시키는 것을 제어하는 것과는 상관없다. 환경을 산화시키는 것은, 유기 재료들을 변경하고, 금속 종(금속 및/또는 금속 질화물, 탄화물, 산화물)의 제거를 도울 수 있다. 환경을 환원시키는 것은, 유기 재료들을 변경시키고, 노출된 금속들의 산화를 방지함으로써 도울 수 있거나 노출된 금속 산화물들을 이들의 순수 금속 상태로 환원시킬 수 있다.
이러한 기술들은 액 화학물(liquid chemistry) 그 자체를 변화시키는 일 없이 과산화수소의 에칭 성능을 현저히 강화시킬 수 있다. TiN의 에칭률은 UV 조사의 턴온 또는 턴오프에 의해 또는 UV 강도를 변화시킴으로써 제어될 수 있다. 강도는 또한 기판에 대해 반경 방향으로 변화될 수 있다. 예를 들어, 주어진 용액 막은 (회전 속도가 더 높은) 기판의 엣지와 비교하여 기판의 중앙을 향하여 더 두꺼울 수 있다. 그 후, UV 강도는 막 두께를 관통한 UV선이 기판의 표면 상의 과산화수소 분자에 닿는 것을 돕도록 기판의 중앙에서 더 크게 되도록 구성될 수 있다. UV 방사선이 과산화수소에 충돌할 때에, 과산화수소가 산소종[예컨대, OH
Figure 112016060302282-pct00008
, HO2
Figure 112016060302282-pct00009
]의 고반응 형태(highly reactive form)로 분해될 수 있다. 금속 하드마스크와 접촉하는 고반응성 산소종에 의해, 금속 하드마스크의 제거가 촉진된다. 그러나, 하나의 문제점은 고반응성 산소종이 밀리세컨드 정도로 매우 짧은 시간 동안에 존재한다는 것이다. 이에 따라서, 용액 막이 비교적 두꺼우면, (UV 방사선의 강도에 의존하여) 막의 상부 내의 과산화수소 분자만이 분해되게 된다. 고반응 종이 기판의 표면에서부터 너무 떨어져 있으면 에칭을 촉진시킬 수 없다. 용액 막이 얇을수록 그리고/또는 UV 방사선의 세기가 높을수록, 고반응 산소종이 기판의 표면에 생성되어 에칭을 촉진시킬 수 있다.
분배율(dispense rate)은 LFT(Low Film Thickness) 분배 절차를 이용할 수 있다. 얇은 액막은 저유량을 이용하여 달성되며, 화학반응의 흐름은 주기적으로 온오프로 순환된다. 비제한적인 예로, 분당 1000 회전수, 오프(off) 3초 온(on) 1초의 사이클이 이용될 수 있다. 300 mm 또는 450 mm 웨이퍼에 대해, 웨이퍼 위에서 막 두께를 평균적으로 낮게 하기 위해, 바람직하게는 20 미크론 막 두께 이하가 되게 하기 위해 중앙에서부터 에지까지의 복수의 분배 위치가 이용될 수 있다. 200 내지 20 미크론 미만의 막 두께를 얻는 데에, 용액 흐름의 온오프 순환이 크게 도움을 줄 수 있다. 단일 노즐 센터 분배 노즐로, 용액 분배 흐름을 주기적으로 차단하는 것은 중앙에서의 막 두께가 20 미크론 미만으로 평평하게 하는 것을 도울 수 있다.
따라서, 본 발명의 기술은 사용되는 시간 및 재료량 면에서 모두 효율을 높일 수 있다. 싱글 패스(single-pass) 시스템의 경우, 시간과 재료가 절감된다. 재순환 시스템의 경우, 적어도 시간 면에서 절감이 있다.
습식 세정을 위한 기존의 하드웨어 시스템이, UV 광원이 추가된 상태로, 본 발명의 방법을 구현하는 데에 사용될 수 있다. 이에 따라, 시스템은 회전 메커니즘을 구비한 기판 홀더와, 기판에서 비산되는 유체를 받아내는 인클로저(enclosure)와, 기판 위에 배치되는 노즐 또는 노즐 어레이를 포함할 수 있다. 노즐은 분사기(atomizer)로서 구성될 수 있다. 또한, 원격 UV 광원으로부터의 방사선을 기판 표면으로 지향시키는 UV 방사선 소스 또는 반사기/도관도 위에 배치된다. 대안적인 실시형태에서, 주사 노즐, 빔 또는 복수의 빔은 임의의 한 번에 기판의 일부를 조사한다. 기판 및 빔은 이동될 수 있거나 또는 기판은 정지 상태에 있을 수 있는 반면에 빔은 웨이퍼를 이동/주사하거나 또는 빔(UV 소스)은 고정될 수 있고 기판은 이동된다. UV 방사선 소스는 단일 소스/전구, 또는 광원의 어레이일 수 있다.
실시형태는 복수의 UV 소스 중 임의의 것을 포함할 수 있다. 이들은 램프, 다이오드 어레이 등일 수 있다. 특정 UV 광원의 선택은 특정 세정 애플리케이션을 위하여 필요한 광의 파장 및/또는 강도 및 전달 모드(전체 웨이퍼 방사선 또는 선형 주사)에 의해 결정될 수 있다. UV 광원의 예는, Hg 또는 아말감(Hg/Ag/Sn/Cu) 램프, 낮은 압력, 중간 압력, 및 높은 압력 램프이다. 이하에는 LP 아말감 램프로부터의 방출 스펙트럼의 예를 나타낸다. 오존 없음과 오존 발생 사이의 차이는 전구를 위하여 사용되는 유리의 선택에 따른다.
여러 가지 램프들이 이용가능하다. 엑시머 램프들의 선택을 위하여, 옵션들은 172nm, 190nm, 222nm, 248nm, 282nm, 308nm을 포함한다. 레이저 기반 UV 조사에 대하여, 광원은 기판 상의 노출 면적 또는 복수의 확장된 빔들을 증가시키기 위하여 기판 전반에 걸쳐서 주사되거나 또는 빔 확장기를 사용할 수 있다. 레이저 옵션들은 157nm, 193nm (ARF), 248nm, 308nm, 351nm, 9.4㎛-10.8㎛ (CO2 레이저)를 포함한다. ARC 및 플래시 램프 선택(연속적인 파동 또는 펄스)은 제논 및 크립톤을 포함할 수 있다. 예컨대 윈도우에 따라서 115nm와 400nm 사이에서 방출하는 듀테륨 램프와 같은 진공 UV 램프가 사용될 수 있다.
더 낮은 온도에서 하드마스크의 에칭율을 생산성있게 함으로써, 에칭 화학물의 수명이 증가될 수 있고, 각 세정 프로세스의 비용이 감소될 수 있다. 종래의 세정 툴에 있어서, TiN 에칭/박리 화학물은 통상적으로 웨이퍼 위의 많은 경로들에 대한 웨이퍼 프로세싱 툴 내에서 재사용된다.
이들 및 다른 기술들은 금속 산화물 환원 및 유기 잔류물 제거를 위한 방법으로서 유용하다. 이는 예컨대 세정제(clean)를 통한 BEOL(back-end-of-line)의 사후 에칭에 대한, 금속 산화물로부터의 폴리머 재료 그리고 기판 상에 노출되는 구리 표면 및 구리 산화물 표면의 제거를 포함한다.
이상의 설명에 있어서, 프로세싱 시스템의 특정 기하학적 구조 및 사용되는 다양한 구성요소와 프로세싱의 설명과 같은 특정 세부사항을 설명하였다. 그러나, 본 발명의 기술은 이들 특정 세부사항과는 상이한 다른 실시형태로도 실시될 수 있으며, 이러한 세부사항은 설명을 위한 것이지 제한용이 아니다는 것을 이해하여야 한다. 본 명세서에 개시하는 실시형태는 첨부 도면을 참조하여 설명되었다. 마찬가지로, 설명의 목적상, 면밀한 이해를 제공하기 위해 특정 수, 재료, 및 구성을 설명하였다. 그럼에도, 실시형태들은 이러한 특정 세부사항 없이 실시될 수도 있다. 실질적으로 동일한 기능의 구성을 구비하는 구성요소들은 동일한 참조 번호로 표시되며, 이에 따라서 임의의 중복된는 설명은 생략될 수 있다.
다양한 실시형태의 이해를 돕기 위해 다양한 기술들이 복수의 분리된 동작들로 설명되었다. 설명의 순서는 이들 동작들이 반드시 순서에 종속되는 것을 암시하도록 해석되어서는 안 된다. 사실상, 이들 동작은 제시 순서로 수행될 필요가 없다. 설명하는 동작들은 설명하는 실시형태와는 상이한 순서로 수행될 수도 있다. 다양한 추가 동작들이 수행될 수도 있고/있거나, 설명하는 동작들이 추가적인 실시형태에서는 생략될 수도 있다.
본 명세서에서 사용되는 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상을 지칭한다. 기판은 디바이스, 구체적으로 반도체 또는 기타 전자 디바이스의 임의의 재료의 부분 또는 구조를 포함할 수 있으며, 예컨대 박막 등의 베이스 기판 구조 상에 있는 또는 그 위를 덮는 반도체 웨이퍼, 레티클, 또는 층과 같은 베이스 기판 구조일 수 있다. 이에 따라서, 기판은 임의의 특정 베이스 구조에, 하부층 또는 상부층에, 패터닝 또는 비패터닝되는 것에 한정되지 않으며, 오히려 임의의 그러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 간주된다. 설명은 특정 유형의 기판을 언급하지만, 이것은 예시 목적일 뿐이다.
당업자라면 본 발명의 동일한 목표를 여전히 달성하면서 전술한 기술의 동작에 다양한 변형이 있을 수 있음도 이해할 것이다. 이러한 변형은 본 개시의 범위 내에 포함되도록 의도된다. 이와 같이, 본 발명의 실시형태에 대한 앞의 설명은 제한적인 것으로 의도되지 않는다. 오히려, 본 발명의 실시형태에 대한 임의의 제한은 이하의 청구범위에 제공된다.

Claims (20)

  1. 기판을 세정하기 위한 방법에 있어서,
    세정 시스템 내에 기판을 수용하는 단계로서, 상기 세정 시스템은, 습식 세정 시스템, 프로세싱 챔버, 및 유체 전달 서브시스템을 포함하며, 상기 기판은 하부층 상에 적층된 하드마스크 층, 상기 하드마스크 층 및 상기 하부층의 노출 부분을 적어도 부분적으로 덮는 폴리머 막을 포함하는 것인, 상기 기판을 수용하는 단계와,
    반응성 산소종이 형성되도록 프로세스 가스 혼합물을 자외 방사선으로 조사하는 단계와,
    변경된 폴리머 막을 제공하기 위해 반응성 산소종을 가지는 상기 프로세스 가스 혼합물에 상기 기판을 노출시키는 단계와,
    상기 프로세싱 챔버 내의 기판 홀더 상에서 상기 기판을 회전시키는 단계와,
    상기 변경된 폴리머 막을 제거하기 위해 상기 기판이 회전되는 동안에 상기 기판 상에 과산화수소 함유액 혼합물을 공급(depositing)하는 단계와,
    상기 기판이 회전하는 동안에 상기 기판 상의 상기 과산화수소 함유액 혼합물을 자외 방사선으로 조사하는 단계
    를 포함하고,
    상기 과산화수소 함유액 혼합물을 자외 방사선으로 조사하는 단계는, 조사 없는 하드마스크의 박리율과 비교하여 25%보다 크게 하드마스크 박리율을 증가시키기에 충분한 단위 면적당 강도를 가진 자외 방사선을 조사하는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  2. 제1항에 있어서, 상기 기판을 상기 프로세스 가스 혼합물에 노출시키는 단계는, 상기 기판의 표면 전반에 걸쳐서 상기 프로세스 가스 혼합물을 흘리는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  3. 제2항에 있어서, 상기 기판을 반응성 산소종을 가지는 상기 프로세스 가스 혼합물에 노출시키는 단계는, 폴리머 막에 대한 화학적 변경을 야기시키는 것인 기판을 세정하기 위한 방법.
  4. 제1항에 있어서, 상기 프로세스 가스 혼합물을 조사하는 단계는, 180-400 나노미터 사이의 파장을 가지는 자외(ultraviolet; UV) 방사선을 포함하는 것인 기판을 세정하기 위한 방법.
  5. 제1항에 있어서, 상기 프로세스 가스 혼합물은 산소 또는 수증기를 포함하는 것인 기판을 세정하기 위한 방법.
  6. 제1항에 있어서, 상기 프로세스 가스 혼합물을 조사하는 단계는, 4mW/cm2보다 더 큰 광 강도를 제공하는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  7. 제1항에 있어서, 상기 프로세스 가스 혼합물을 조사하는 단계는, UV 방사선을 230 나노미터 아래로 필터링하는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  8. 제1항에 있어서, 상기 과산화수소 함유액 혼합물은, 상기 폴리머 막을 용해시키는 용제를 포함하는 과산화수소 액을 포함하는 것인 기판을 세정하기 위한 방법.
  9. 제1항에 있어서, 상기 자외 방사선은 185-400 나노미터 사이의 파장을 가지는 것인 기판을 세정하기 위한 방법.
  10. 제9항에 있어서, 상기 조사하는 단계는, 185 나노미터 파장 내지 254 나노미터 파장을 가진 자외 방사선을 포함하는 것인 기판을 세정하기 위한 방법.
  11. 제10항에 있어서, 상기 조사하는 단계는, 4mW/cm2 보다 더 큰 광 강도를 제공하는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  12. 제11항에 있어서, 상기 조사하는 단계는 UV 방사선을 230 나노미터 아래로 필터링하는 단계를 포함하는 기판을 세정하기 위한 방법.
  13. 제1항에 있어서, 상기 기판을 회전시키는 단계는, 상기 적층된 과산화수소 함유액 혼합물이 200 미크론 미만의 막 두께를 가지기에 충분한 회전 속도로 상기 기판을 회전시키는 단계를 포함하는 기판을 세정하기 위한 방법.
  14. 삭제
  15. 제1항에 있어서, 상기 프로세싱 챔버 내의 프로세스 온도를 50℃ 미만으로 유지하는 단계를 더 포함하는 기판을 세정하기 위한 방법.
  16. 기판을 세정하기 위한 방법에 있어서,
    세정 시스템 내에 기판을 수용하는 단계로서, 상기 세정 시스템은 습식 세정 시스템, 프로세싱 챔버, 및 유체 전달 서브시스템을 포함하고, 상기 기판은, 하부층 상에 적층되는 하드마스크 층과, 상기 하드마스크 층을 적어도 부분적으로 덮는 폴리머 막을 포함하는 것인 상기 기판을 수용하는 단계와,
    반응성 산소 종이 형성되도록 프로세스 가스 혼합물을 자외 방사선으로 조사하는 단계와,
    변경된 폴리머 막을 제공하기 위해 반응성 산소종을 가진 상기 프로세스 가스 혼합물에 상기 기판을 노출시키는 단계와,
    상기 프로세싱 챔버 내의 기판 홀더 상에서 상기 기판을 회전시키는 단계와,
    상기 변경된 폴리머 막을 제거하기 위해 상기 기판 상에 과산소 화합물 함유액 혼합물을 공급하는 단계와,
    상기 기판이 회전하는 동안에 상기 기판 상의 상기 과산소 화합물 함유액 혼합물을 자외 방사선으로 조사하는 단계
    를 포함하고,
    상기 과산소 화합물 함유액 혼합물을 자외 방사선으로 조사하는 단계는, 조사 없는 하드마스크의 박리율과 비교하여 25%보다 크게 하드마스크 박리율을 증가시키기에 충분한 단위 면적당 강도를 가진 자외 방사선을 조사하는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  17. 제16항에 있어서, 상기 기판 상에 상기 과산소 화합물 함유액 혼합물을 공급하는 단계는, 상기 폴리머 막을 용해시키는 용제를 포함하는 과산소 화합물 함유액 혼합물을 포함하는 것인 기판을 세정하기 위한 방법.
  18. 제16항에 있어서, 상기 자외 방사선은 185 나노미터 파장 내지 254 나노미터 파장을 가지는 것인 기판을 세정하기 위한 방법.
  19. 기판을 세정하기 위한 방법에 있어서,
    하부층 상에 적층된 하드마스크 층과 상기 하드마스크 층을 적어도 부분적으로 덮는 폴리머막을 포함하는 기판을 제공하는 단계와,
    반응성 산소종이 형성되도록 프로세스 가스 혼합물을 자외 방사선으로 조사하는 단계와,
    변경된 폴리머 막을 제공하기 위해 반응성 산소종을 가진 상기 프로세스 가스 혼합물에 상기 기판을 노출시키는 단계와,
    프로세싱 챔버 내의 기판 홀더 상에서 상기 기판을 회전시키는 단계와,
    상기 변경된 폴리머 막을 제거하기 위해 상기 기판이 회전되는 동안에 상기 기판 상에 과산화수소 함유액 혼합물을 공급하는 단계와,
    상기 기판이 회전하는 동안에 상기 기판 상의 상기 과산화수소 함유액 혼합물을 자외 방사선으로 조사하는 단계
    를 포함하고,
    상기 과산화수소 함유액 혼합물을 자외 방사선으로 조사하는 단계는, 조사 없는 하드마스크의 박리율과 비교하여 25%보다 크게 하드마스크 박리율을 증가시키기에 충분한 단위 면적당 강도를 가진 자외 방사선을 조사하는 단계를 포함하는 것인 기판을 세정하기 위한 방법.
  20. 제19항에 있어서, 상기 프로세스 가스 혼합물은 가스들의 제1 혼합물 및 가스들의 제2 혼합물을 포함하며, 상기 가스들의 제1 혼합물은 산화 가스 화학물을 포함하며, 상기 가스들의 제2 혼합물은 환원 가스 화학물 포함하며, 상기 가스들의 제1 혼합물은 산화 가스를 포함하며, 상기 가스들의 제2 혼합물은 수소를 포함하며,
    상기 산화 가스는, 환원 가스를 조사하고 상기 환원 가스에 상기 기판을 노출시키기 이전에 상기 기판에 조사 및 노출되는 것인 기판을 세정하기 위한 방법.
KR1020167015575A 2013-11-11 2014-11-10 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어 KR102166974B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361902485P 2013-11-11 2013-11-11
US61/902,485 2013-11-11
PCT/US2014/064862 WO2015070168A1 (en) 2013-11-11 2014-11-10 Method and hardware for enhanced removal of post etch polymer and hardmask removal

Publications (2)

Publication Number Publication Date
KR20160086375A KR20160086375A (ko) 2016-07-19
KR102166974B1 true KR102166974B1 (ko) 2020-10-16

Family

ID=53042201

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167015575A KR102166974B1 (ko) 2013-11-11 2014-11-10 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어

Country Status (4)

Country Link
US (1) US10347503B2 (ko)
KR (1) KR102166974B1 (ko)
TW (1) TWI667708B (ko)
WO (1) WO2015070168A1 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
JP2017098323A (ja) * 2015-11-19 2017-06-01 東京エレクトロン株式会社 プラズマエッチング方法
US9627534B1 (en) * 2015-11-20 2017-04-18 United Microelectronics Corp. Semiconductor MOS device having a dense oxide film on a spacer
US10490399B2 (en) * 2016-03-09 2019-11-26 Tokyo Electron Limited Systems and methodologies for vapor phase hydroxyl radical processing of substrates
US9741585B1 (en) * 2016-04-12 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Reactive radical treatment for polymer removal and workpiece cleaning
KR102614850B1 (ko) * 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
WO2018225185A1 (ja) * 2017-06-07 2018-12-13 シャープ株式会社 マスク洗浄装置およびマスク洗浄方法
KR20190015666A (ko) * 2017-08-04 2019-02-14 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10861698B2 (en) 2017-08-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement
US10475656B2 (en) 2017-12-19 2019-11-12 Micron Technology, Inc. Hydrosilylation in semiconductor processing
US10784101B2 (en) 2017-12-19 2020-09-22 Micron Technology, Inc. Using sacrificial solids in semiconductor processing
US11037779B2 (en) 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
US10964525B2 (en) 2017-12-19 2021-03-30 Micron Technology, Inc. Removing a sacrificial material via sublimation in forming a semiconductor
US10957530B2 (en) 2017-12-19 2021-03-23 Micron Technology, Inc. Freezing a sacrificial material in forming a semiconductor
JP7011947B2 (ja) * 2018-01-29 2022-02-10 東京エレクトロン株式会社 アッシング装置、アッシング方法及びコンピュータ読み取り可能な記録媒体
US10497558B2 (en) 2018-02-26 2019-12-03 Micron Technology, Inc. Using sacrificial polymer materials in semiconductor processing
US11289325B2 (en) * 2020-06-25 2022-03-29 Tokyo Electron Limited Radiation of substrates during processing and systems thereof
TW202319831A (zh) * 2021-07-16 2023-05-16 日商東京威力科創股份有限公司 基板處理裝置及基板處理方法
US11769660B2 (en) * 2021-12-03 2023-09-26 Pulseforge, Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer
US11688600B1 (en) * 2021-12-03 2023-06-27 Pulseforge, Inc. Method and apparatus for removing particles from the surface of a semiconductor wafer
CN114388350B (zh) * 2022-03-23 2022-06-21 湖北三维半导体集成创新中心有限责任公司 一种晶圆清洗方法及装置
CN115132570B (zh) * 2022-09-01 2022-11-25 睿力集成电路有限公司 一种半导体结构的处理方法及装置
KR20240053429A (ko) * 2022-10-17 2024-04-24 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110132394A1 (en) * 2004-12-16 2011-06-09 Abbas Rastegar Method and Apparatus for an In-Situ Ultraviolet Cleaning Tool
WO2011072188A2 (en) * 2009-12-11 2011-06-16 Advanced Technology Materials, Inc. Removal of masking material
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664899A (en) * 1969-12-29 1972-05-23 Gen Electric Removal of organic polymeric films from a substrate
US6800142B1 (en) * 2002-05-30 2004-10-05 Novellus Systems, Inc. Method for removing photoresist and post-etch residue using activated peroxide followed by supercritical fluid treatment
US7364839B2 (en) * 2002-07-24 2008-04-29 Kabushiki Kaisha Toshiba Method for forming a pattern and substrate-processing apparatus
US7265061B1 (en) * 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
WO2006012174A2 (en) * 2004-06-28 2006-02-02 Lam Research Corporation System and method of cleaning and etching a substrate
WO2007044446A1 (en) * 2005-10-05 2007-04-19 Advanced Technology Materials, Inc. Oxidizing aqueous cleaner for the removal of post-etch residues
US8052797B2 (en) 2006-10-24 2011-11-08 Asahi Glass Company, Limited Method for removing foreign matter from substrate surface
JP2008277585A (ja) 2007-04-27 2008-11-13 Canon Inc 露光装置の洗浄装置及び露光装置
JP6124459B2 (ja) 2011-08-04 2017-05-10 Hoya株式会社 レジスト現像液

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110132394A1 (en) * 2004-12-16 2011-06-09 Abbas Rastegar Method and Apparatus for an In-Situ Ultraviolet Cleaning Tool
WO2011072188A2 (en) * 2009-12-11 2011-06-16 Advanced Technology Materials, Inc. Removal of masking material
US20130200040A1 (en) * 2012-01-04 2013-08-08 International Business Machines Corporation Titanium nitride removal

Also Published As

Publication number Publication date
US10347503B2 (en) 2019-07-09
TW201532141A (zh) 2015-08-16
TWI667708B (zh) 2019-08-01
KR20160086375A (ko) 2016-07-19
US20150128991A1 (en) 2015-05-14
WO2015070168A1 (en) 2015-05-14

Similar Documents

Publication Publication Date Title
KR102166974B1 (ko) 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어
US7921859B2 (en) Method and apparatus for an in-situ ultraviolet cleaning tool
KR102095084B1 (ko) 자외선 처리를 이용하여 금속 하드마스크의 제거를 강화시키는 시스템 및 방법
JP6303008B2 (ja) 異なる波長の二つ以上の紫外光源を用いて基板を処理するシステム
TWI587390B (zh) 用以蝕刻有機硬遮罩之方法
TWI526257B (zh) 使用噴嘴清洗基板上之一層的控制
JP7382512B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
KR20170105439A (ko) 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법
TWI647756B (zh) 清潔基板用之處理氣體產生
US10249509B2 (en) Substrate cleaning method and system using atmospheric pressure atomic oxygen
JP2008504714A (ja) 基板を洗浄及びエッチングするシステム及び方法
WO2020188958A1 (ja) 基板処理方法および基板処理装置
JPH11323576A (ja) ウエットエッチング方法
JP7502545B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
TW202217922A (zh) 在處理期間的基板輻射及其系統
JP2024514454A (ja) 金属含有フォトレジストからの金属汚染の制御
JP2023515065A (ja) コア除去
TW202043544A (zh) 使用受照射蝕刻溶液之材料粗糙度縮減用的處理系統及平台
WO1995002472A1 (en) Post treatment of a coated substrate with a gas containing excited halogen to remove residues

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2018101003148; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20180726

Effective date: 20200204

S901 Examination by remand of revocation
E902 Notification of reason for refusal
S601 Decision to reject again after remand of revocation
AMND Amendment
GRNO Decision to grant (after opposition)
X701 Decision to grant (after re-examination)
GRNT Written decision to grant