KR20170105439A - 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법 - Google Patents

기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법 Download PDF

Info

Publication number
KR20170105439A
KR20170105439A KR1020170030064A KR20170030064A KR20170105439A KR 20170105439 A KR20170105439 A KR 20170105439A KR 1020170030064 A KR1020170030064 A KR 1020170030064A KR 20170030064 A KR20170030064 A KR 20170030064A KR 20170105439 A KR20170105439 A KR 20170105439A
Authority
KR
South Korea
Prior art keywords
vapor
substrate
hydrogen peroxide
hydroxyl radical
carbon
Prior art date
Application number
KR1020170030064A
Other languages
English (en)
Other versions
KR102362672B1 (ko
Inventor
이안 제이. 브라운
월리스 피. 프린츠
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170105439A publication Critical patent/KR20170105439A/ko
Application granted granted Critical
Publication of KR102362672B1 publication Critical patent/KR102362672B1/ko

Links

Images

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/04Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판을 프로세싱하기 위한 장치 및 방법. 방법은 기판 프로세싱 시스템의 프로세싱 챔버 내에 기판을 배치하는 단계를 포함한다. 기판은 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함한다. 방법은 또한 기판 프로세싱 시스템의 증기 처리 영역에서 과산화수소 증기를 수용하는 단계, 증기 처리 영역에서 과산화수소 증기를 처리함으로써 히드록실 라디칼 증기를 생성하는 단계, 및 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 기판의 작업 표면으로 지향시키는 단계로서, 이는 탄소 함유 물질이 화학적으로 변성되게 하는 것인, 지향 단계를 포함한다.

Description

기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법 {SYSTEMS AND METHODOLOGIES FOR VAPOR PHASE HYDROXYL RADICAL PROCESSING OF SUBSTRATES}
관련 출원에 대한 상호 참조
본 출원은 본 2016년 3월 9일자에 출원된 미국 가특허 출원 제62/305,715호의 우선권을 주장하며, 출원의 전체 내용은 참조에 의해 본 명세서에 통합된다.
본 개시는 반도체 물질의 프로세싱에 관한 것으로, 특히 세정 및 물질 제거를 위한 방법, 시스템, 및 장치에 관한 것이다.
집적 회로 및 반도체 디바이스의 제조는 많은 상이한 타입의 프로세싱 기술을 포함할 수 있다. 이러한 기술은 일반적으로 다양한 희생 및/또는 영구적 구조물을 만들기 위해 기판을 패턴화하고, 그 패턴을 사용하는 것을 포함한다. 예를 들어, 포토리소그래피는 포토레지스트와 같은 얇은 층의 방사선 민감성 물질을 사용하여 패턴화된 층을 생성하는데 사용될 수 있다. 이러한 방사선 민감성 물질 층은 기판 상의 하나 이상의 하부 층들로 패턴을 에칭 또는 전사하는데 사용될 수 있는 패턴화된 마스크로 변형된다. 따라서, 포토레지스트의 패턴화된 층은 하나 이상의 하부 층들의 방향성 (즉, 이방성) 에칭을 위한 마스크로서의 역할을 할 수 있다. 산화물, 유기 물질, 하드마스크, 금속 등을 포함하는 임의의 다양한 물질들이 패턴화될 수 있다.
집적 회로 및 반도체 디바이스의 제조는 물질을 성막하고, 물질을 변성시키고, 물질을 패턴화하며, 물질을 제거하는 순환 공정일 수 있다. 주어진 기판 상에서 다른 타입의 물질을 제거하지 않고 한 가지 타입의 물질을 제거하는 게 필요하다는 것이 일반적이다. 주어진 기판에서 물질을 선택적으로 제거 또는 세정하기 위해 다양한 세정 공정이 구현될 수 있다. 이러한 세정 공정은 기판으로부터 물질을 세정 또는 제거하기 위해 특정 화학적 성질 및/또는 물리적 메커니즘을 사용하는 습식 세정 기술(예를 들어, 반응성 액체 화학 물질) 및 건식 세정 기술(예를 들어, 플라즈마 기반 세정) 모두를 포함할 수 있다.
앞서 말한 "배경기술" 설명은 일반적으로 본 개시의 맥락을 제시하기 위한 것이다. 본 배경기술 섹션뿐만 아니라 출원 당시에 선행 기술로서의 자격을 달리 갖지 않을 수 있는 그 설명의 양태들에서 설명된 것의 범위에서, 발명자의 작업은 본 발명에 대한 선행 기술로서 명시적으로도 암시적으로도 인정되지는 않는다.
본 개시의 양태는 기판을 프로세싱하기 위한 방법을 포함한다. 방법은 기판 프로세싱 시스템의 프로세싱 챔버 내에 기판을 배치하는 단계를 포함한다. 기판은 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함한다. 방법은 또한 기판 프로세싱 시스템의 증기 처리 영역에서 과산화수소 증기를 수용하는 단계, 증기 처리 영역에서 과산화수소 증기를 처리함으로써 히드록실 라디칼 증기를 생성하는 단계, 및 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 기판의 작업 표면으로 지향시키는 단계로서, 이에 의해 탄소 함유 물질이 화학적으로 변성되게 하는 것인, 지향 단계를 포함한다.
본 개시의 다른 양태는 기판 프로세싱 시스템을 포함한다. 시스템은 기판을 유지하도록 구성된 프로세싱 챔버를 포함한다. 기판은 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함한다. 기판 프로세싱 시스템은 또한 히드록실 라디칼 증기 생성 시스템을 포함하고, 상기 히드록실 라디칼 증기 생성 시스템은, 과산화수소 증기를 수용하고, 과산화수소 증기로부터 히드록실 라디칼 증기를 생성하며, 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 기판의 작업 표면으로 지향시켜 탄소 함유 물질이 화학적으로 변성되게 하도록 구성된다.
본 개시의 다른 양태는 기판 프로세싱 시스템에서 기판 세정을 위한 장치를 포함한다. 장치는 과산화수소 증기를 수용하도록 구성된 유입구, 히드록실 라디칼 증기를 생성하기 위해 충분한 자외선(ultraviolet; UV) 방사선에 과산화수소 증기를 노출시키도록 구성된 자외선(UV) 소스; 및 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 기판(상기 기판은 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함함)으로 지향시켜 탄소 함유 물질이 화학적으로 변성되게 하도록 구성된 유출구를 포함한다.
앞서 말한 단락은 일반적인 소개로 제공되었으며, 다음의 청구항의 범위를 제한하기 위한 것이 아니ㄷ, 설명된 실시예는 추가의 장점과 함께 첨부 도면과 함께 취해진 다음의 상세한 설명을 참조함으로써 가장 잘 이해될 것이다.
본 개시 및 이에 수반되는 다수의 장점에 대한 보다 완벽한 이해가 첨부 도면과 관련하여 고려될 때 다음의 상세한 설명을 참조함으로써 동일한 것이 더 잘 이해되는 바와 같이 쉽게 획득될 것이다.
도 1은 일례에 따른 기판을 프로세싱하기 위한 시스템의 개략도이다.
도 2a는 일례에 따른 증기 처리 시스템의 개략도이다.
도 2b는 도 2a의 시스템의 측면도를 도시하는 개략도이다.
도 3은 일례에 따른 다수의 자외선(UV) 노출 챔버를 갖고 기판을 프로세싱하기 위한 시스템의 개략도이다.
도 4는 일례에 따른 기판을 프로세싱하기 위한 시스템의 개략도이다.
도 5는 일례에 따른 유기 과산화물(organic peroxide) 전달 시스템의 개략도이다.
도 6은 일례에 따른 기판을 프로세싱하기 위한 방법을 도시하는 흐름도이다.
도 7은 일례에 따른 예시적인 결과를 도시하는 개략도이다.
도 8은 일례에 따른 제어기의 예시적인 블록도이다.
이제 도면을 참조하면, 동일한 참조 번호는 여러 도면에 걸쳐서 동일하거나 대응하는 부분을 지정하며, 다음의 설명은 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 관련 방법에 관한 것이다.
본 명세서 전반에 걸쳐 "일 실시예" 또는 "실시예"에 대한 참조는 실시예와 관련되어 설명된 특정한 특징, 구조, 물질 또는 특성이 적어도 하나의 실시예에 포함되지만, 이들이 모든 실시예에 존재한다는 것을 나타내는 것이 아님을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소에서 "일 실시예에서" 구절의 출현은 반드시 동일한 실시예를 나타내는 것은 아니다. 더욱이, 특정한 특징, 구조, 물질, 또는 특성은 하나 이상의 실시예들에서 임의의 적합한 방식으로 결합될 수 있다.
본 명세서에 설명된 기술은 플라즈마 또는 높은 공정 온도(즉, 150 ℃ 이상)를 사용하는 것을 필요로 하지 않는 저손상 산화 공정을 제공한다. 기술은 탄소 함유 막의 제거를 위해 고농도 히드록실 라디칼 증기의 생성을 포함한다. 고농도 히드록실 라디칼 증기를 생성하기 위해, 고농도 과산화수소의 흐름이 기판 표면에 도달하기 전에 자외선(UV) 방사선에 노출될 수 있다. 이러한 산화 기술은 반도체 및 평판 기판의 프로세싱을 위한 건식 산화 공정을 제공할 수 있다. 통상적으로, 산화 세정 또는 산화 처리 공정은 플라스마 산화 또는 습식 화학적 성질의 고온 산화, 예를 들어, 황산 및 과산화수소 혼합물(SPM), 표준 세정 1(SC1)(유기 세정 + 입자 세정), H2O2, 또는 산화 분위기에서의 열 처리를 필요로 한다. 과산화수소의 반응성은 과산화물 및/또는 기판을 가열함으로써 증가될 수 있지만, 과산화수소는 물과 산소로의 열분해에 민감하다는 단점이 있다.
반응성의 관점에서, 히드록실 라디칼 HOㆍ는 H2O2 단독보다 산화성이 더 크다. 산화성이 더 큰 전위의 반응물을 사용하는 한 가지 장점은 산화성이 적은 조건 하에서 제거에 덜 민감한 막의 제거를 허용한다. 또한, 산화성이 더 큰 반응물의 사용은, 산화성이 적은 반응물을 사용하는 프로세싱 시간에 비해 목표 막을 제거하기 위한 필요 프로세싱 시간을 단축할 것이다. 표 1은 다양한 산화 화학종의 산화 전위를 도시하며, 히드록실 라디칼과 과산화수소 간의 산화 전위의 차이를 강조한다. 따라서, 과산화수소 증기의 반응성은 과산화수소 증기를 히드록실 라디칼 증기로 전환시킴으로써 증가될 수 있다.
산화 화학종 산화 전위(V)
불소(F2) 3.0
히드록실 라디칼(HOㆍ) 2.7
일중항 산소(O) 2.4
오존(O3) 2.1
산소(O2) 1.2
과산화물(H2O2) 1.8
도 1은 일례에 따른 기판을 프로세싱하기 위한 시스템(100)의 개략도이다. 시스템(100)은 반도체 노, 단일 웨이퍼 프로세싱 시스템, 에칭 시스템(예를 들어, 플라즈마 프로세싱 시스템), 및 세정 툴을 포함할 수 있다. 시스템(100)은 프로세싱 챔버(102)를 포함할 수 있고, 프로세싱 챔버(102) 내부에, 기판(104)을 수용하기 위한 정전 척과 같은 기판 홀더(116)가 배치된다. 기판 홀더(116)는 온도 제어 척일 수 있다.
기판(104)은 기판(104)의 작업 표면 상에 탄소 함유 물질의 층을 포함한다. 이 층은 본 명세서에 설명된 공정에 의해 제거 또는 처리될 수 있다. 탄소 함유 물질의 층은 비결정질 탄소, 포토레지스트, 스핀-온-탄소(spin-on-carbon), 또는 반도체 프로세싱 유닛 동작의 탄소 함유 부산물로 구성된 그룹으로부터 선택된다. 예를 들어, 막은 스핀-온-탄소(SOC)뿐만 아니라 비결정질 탄소의 화학 기상 증착과 같은 탄소 막을 포함할 수 있다. 이온 주입 포토레지스트를 포함하는 다양한 포토레지스트가 사용될 수 있다. 에칭 후 폴리머와 같은 에칭 부산물 막이 또한 처리될 수 있다.
과산화수소 증기가 기판 프로세싱 시스템(100)의 증기 처리 영역(120)에서 수용된다. 이러한 과산화수소 증기는 5000-150,000 ppm과 같은 고농도로 제공될 수 있다. 진공의 고농도 건성 H2O2 또는 H2O2/H2O 증기를 대기압으로 생산하는 다양한 과산화수소 발생기가 사용될 수 있다. 예시적인 과산화수소 증기 발생기는 캘리포니아주 샌디에고에 소재하는 RASIRC에 의해 제조된다. 이러한 증기 발생기는 수증기에 대한 과산화수소의 평형 증기 농도와 비교하여 더 높은 농도의 과산화수소를 제공할 수 있다. 증기 처리 영역(120)은 기판 프로세싱 챔버(102) 내에서 기판(104)의 작업 표면 위에 있는 영역, 또는 기판 프로세싱 챔버(102)에 인접한 챔버 또는 튜브 내의 영역, 또는 기판 프로세싱 챔버(102) 외부의 영역일 수 있다.
히드록실 라디칼 증기는 증기 처리 영역(120)에서 과산화수소 증기를 처리함으로써 생성된다. 과산화수소 증기를 처리하는 것은, 과산화수소 증기를 자외선 방사선에 노출시키는 것을 포함한다. 과산화수소 증기의 산화 성능은 과산화수소 증기를 자외선 방사선에 노출시켜 과산화수소를 히드록실 라디칼 증기로 열분해(crack)함으로써 증가될 수 있다. 그런 다음, 히드록실 라디칼은 기판 표면에서 직접 산화 반응을 위해 생성된 것으로 사용되거나, 유기 라디칼 또는 유기 과산화물을 생성하기 위해 다른 기체의 존재시에 생성될 수 있다. 따라서, 히드록실 라디칼은 실질적으로 산화 공정 시간을 감소시켜 처리량을 개선시킬 수 있다. 히드록실 라디칼 증기는 기판 프로세싱 챔버에서 그 자리에서 또는 프로세싱 챔버에 들어가기 전에 별도의 영역에서 생성될 수 있다. 일부 기판은 UV 광에 민감할 수 있으므로, UV 광 노출을 포함하는 인접한 영역에서 히드록실 라디칼 증기를 생성하는 것이 효과적 일 수 있다.
다시 도 1을 참조하면, 고농도 과산화수소 증기가 기판 프로세싱 챔버(102) 내로 흐를 수 있다. 기판 프로세싱 챔버(102)는 UV 투명 윈도우(108) 뒤에 배치될 수 있는 UV 램프와 같은 하나 이상의 UV 소스(106)를 포함할 수 있다. 과산화수소 증기가 H2O2 발생기(110)로부터 기판을 향하여 흐름에 따라, UV 방사선은 과산화수소 증기와 상호 작용하여 히드록실 증기를 형성하고, 그런 다음, 히드록실 증기가 기판을 향하여 흐른다. 시스템은 증기 오존 발생기(112) 및 스팀 발생기(114)를 더 포함할 수 있다. H2O2 발생기(110), 증기 오존 발생기(112), 스팀 발생기(114) 및 온도 제어 기판 홀더(116)는 제어기(118)에 의해 제어되어, 시스템(100)의 다양한 파라미터를 조정할 수 있다.
일부 기판 상의 물질들은 UV 방사선에 민감하고 및/또는 UV 방사선에 의해 손상될 수 있다. 따라서, 도 1에 도시된 구성은 UV 방사선이 기판의 작업 표면에 도달할 수 있기 때문에 어떤 경우에는 바람직하지 않을 수 있다. 다른 실시예에서, 히드록실 라디칼 증기가 UV 방사선으로 생성될 수 있고, 그런 다음 히드록실 라디칼 증기가 프로세싱 챔버에 전달될 수 있지만 UV 방사선 없이 기판에 도달할 수 있도록, 증기 처리 영역은 기판 프로세싱 챔버(102)에 인접하게 또는 프로세싱 챔버 바로 바깥쪽에 위치할 수 있다.
도 2a는 일례에 따른 증기 처리 시스템(200)의 개략도이다. 254 나노미터 파장을 발생시키는 UV 광원(202)은 UV 투명 물질로 둘러싸인 긴 UV 소스이다. 이 예에서, 석영 튜브(204)가 UV 광원(202)을 둘러싸고 있다. 외부 튜브(206)(UV 반사 물질을 포함할 수 있음)는 UV 광원(202)을 지나서/주변에 과산화수소 증기를 흐르게 하기 위한 도관을 제공한다. 과산화수소 및 UV 투명 캐리어 기체(예를 들어, 질소)는 유입구(208)를 통해 도입될 수 있다. UV 투명 캐리어 기체는 UV 광원(202)에 노출되도록 도관을 통해 습식 또는 건성 과산화수소 증기를 실어 나른다. 그런 다음, 이러한 UV 노출은 과산화수소를 열분해하여 히드록실 라디칼을 생성하며, 히드록실 라디칼은 이어서 유출구(210)를 통해 기판의 작업 표면으로 흐를 수 있다.
도 2b는 도 2a의 시스템의 횡단면도를 도시하는 개략도이다.
도 3은 일례에 따른 다수의 UV 노출 챔버를 사용하여 기판을 프로세싱하기 위한 시스템(300)의 개략도이다. 상이한 UV 광원이, 생성된 히드록실 라디칼의 농도를 더 증가시키기 위해 사용될 수 있다. 다수의 UV 노출 챔버 및/또는 UV 광원이 히드록실 라디칼 생성에 사용될 수 있다. 일 실시예에서, 시스템은 제 1 노출 챔버(302) 및 제 2 노출 챔버(304)를 포함할 수 있다. 제 1 노출 챔버(302)는 제 1 파장, 예를 들어 185 nm 또는 172 nm를 갖는 제 1 UV 소스(306)를 포함할 수 있다. 제 2 노출 챔버(304)는 제 2 파장, 예를 들어 254 nm를 갖는 제 2 UV 소스(308)를 포함할 수 있다. 과산화수소는 제 1 노출 챔버(302)에 도입되고, 제 1 노출 챔버로부터의 출력(예를 들어, H2O2, O2, H2O, HOㆍ, O3, O)은 제 2 노출 챔버(304)의 입력으로 지향된다. 그런 다음, 제 2 노출 챔버로부터의 출력(예를 들어, H2O2, O2, H2O, HOㆍ)은 기판 프로세싱 챔버로 지향된다.
다중 노출 프로세싱 구성에서 각각의 노출 챔버의 파장의 시퀀스의 선택은 라디칼의 라이프타임의 함수로서 결정된다. 예를 들어, 산소 원자(O)가 오존(O3)보다 반응성이 높은 경우, 기판 표면에서 반응성 농도를 증가시키기 위해 산소 원자를 시퀀스에서 마지막으로 (즉, 기판 프로세싱 챔버 앞의 마지막 노출 챔버에서) 생성하는 것이 유리한데, 그렇지 않으면 높은 비율의 O가 기판에 도달하기 전에 다른 곳에서 반응했을 수 있기 때문이다.
H2O2는 254 nm를 강하게 흡수하여 히드록실 라디칼로 분열된다. O3는 또한 254 nm를 강하게 흡수하여 산소 원자(O)와 이원자 산소(O2)를 생성할 수 있다. O2가 존재하면, 185 nm 또는 172 nm의 광이 O2 분자를 쪼개서 산소 원자를 생성할 수 있다. 산소 원자 자체는 기판과 반응하거나, 산소와 반응하여 오존을 형성한다. 오존은 산소보다 반응성이 크다. H2O2/질소 혼합물이 사용된 경우, 254 nm의 파장을 갖는 노출 챔버만이 사용된다. 캐리어 기체가 공기인 경우, 보다 짧은 파장을 갖는 노출 챔버가 기체의 반응성을 향상시키기 위해 사용될 수 있다.
튜브 내에 배치된 UV 광원을 갖고 튜브 주위에 과산화수소를 흐르게 하는 것은, 단지 일 예시적인 구성일 뿐임을 유의한다. 예를 들어, UV 광원은 과산화수소 증기가 흐르는 도관 주변에 위치할 수 있다. 기판 프로세싱 챔버에 들어가기 전의 도관 또는 사전 노출 챔버에 대해 다양한 기하학적 구조가 사용될 수 있다.
일 실시예에서, 히드록실 라디칼 증기는 기판에 대한 UV 손상의 위험을 최소화하면서 기판 프로세싱 챔버 내에서 생성될 수 있다. 구체적으로, UV 광은 UV에 대한 기판 노출을 최소화하도록 지향될 수 있다.
도 4는 일례에 따른 기판을 프로세싱하기 위한 시스템(400)의 개략도이다. 광 또는 레이저 시스(laser sheath)(402)는 기판(404)의 작업 표면과 평행하게 지향될 수 있다. 따라서, 기판(404)은 본질적으로 UV 방사선을 받지 않는다. 광 시스(402)는 기판(404)으로부터 다양한 높이 및 거리를 가질 수 있다. 이와 같이, 히드록실 라디칼 증기는 증기 처리 영역(406)에서 기판(404)의 작업 표면과 접촉하기 직전에 생성될 수 있다.
다른 실시예에서, 농축된 건성 또는 습식 과산화수소가 전달되는 것에 더하여, 도 5에 설명된 바와 같이 유기 과산화물 전달 시스템이 포함될 수 있다.
도 5는 일례에 따른 유기 과산화물 전달 시스템(500)의 개략도이다. 유기 과산화물 전달 시스템(500)은 하나 이상의 유입구, 예를 들어 유입구(502)를 포함할 수 있다. 알칸 또는 알켄이 유입구(502)를 통해 과산화수소의 스팀 내에 주입되어 유기 과산화물을 형성할 수 있다. 유기 과산화물은 (예를 들어, 개시제를 이용한 화학 기상 증착(initiated chemical vapor deposition; iCVD)으로) 중합제로서 사용되는 능력, 또는 표면 변성을 제공하기 위해 표면 상의 그래프팅(grafting)을 위해 사용되는 능력을 갖는다. 이 실시예의 하나의 이점은 소비재로서 활동적인 유기 과산화물을 사용하는 것을 피할 뿐만 아니라 그러한 사용의 전달 및 저장 위험을 피하는 것이다. 유기 과산화물 라디칼은 유기 과산화물 전달 시스템(500)에서 요구에 따라 생성된다. 제 2 유입구(504)가 O2, 알칸 또는 알켄을 유기 과산화물 라디칼에 주입하기 위해 사용될 수 있다.
발광에 사용된 UV 파장뿐만 아니라, 선택된 소정의 기체 조성물이 생성된 화학종을 결정한다. 스팀, 산소, 질소 및 과산화수소의 환경을 제어하는 것은, UV 노출 후에 주어진 공정 기체 혼합물에서 생성되는/존재하는 과산화물 화학종의 비율을 제어할 수 있다. UV와의 다양한 산소/과산화물 화합물 반응의 예는 다음을 포함한다:
O2 + hv (<230nm) => 2 O
O + O2 + M = O3 + M (M은 비활성 제 3 바디, 예컨대, N2)
O3 + hv (254nm) => O + O2
O + H2O => 2HOㆍ
H2O2 + hv (< 300nm) = 2HOㆍ
상이한 과산화물 화학종은 상이한 탄소 함유 물질의 프로세싱에서 유리할 수 있다. 또한, 특정 과산화물 화학종은 높은 프로세싱 온도에서 바람직할 수 있다. 따라서, 기판 온도는 생성된 과산화물 화학종의 타입을 고려하여 제어기(118)에 의해 제어될 수 있다. 따라서, 히드록실 라디칼 증기를 생성하기 위한 다양한 실시예들이 본 명세서에 설명된다. 생성 후, 히드록실 라디칼 증기 및 남아있는 과산화수소 증기는, 히드록실 라디칼 증기가 탄소 함유 물질의 층과 접촉하도록 기판의 작업 표면으로 지향된다. 충분한 히드록실 라디칼 증기가 기판의 작업 표면으로 지향되어 탄소 함유 물질의 층을 기체 상태로 산화시키거나, 그렇지 않으면 유기 물질의 층으로 변성시킬 수 있다.
다른 실시예에서, 산소 및 수소가 탄소 함유 물질의 탄소 구조에 첨가되도록 충분한 히드록실 라디칼 증기가 기판의 작업 표면으로 지향된다. 따라서, 탄소 함유 층은 화학적으로 변성되지만, H2O 및 CO2로 광물화되고 기상으로 제거되는 대신에 그 자리에 남아있게 된다.
이러한 변성은 UV 처리된 공기를 사용하여 후속 제거를 가능하게 할 수 있다. 히드록실 라디칼 증기를 기판의 작업 표면으로 지향시키는 것은, 프로세스 챔버의 주어진 압력에서 기판을 260 ℃ 미만, 또는 심지어 100 ℃ 미만, 또는 과산화수소 증기의 응축 온도 이하로 유지시키는 것을 포함할 수 있다. 예를 들어, 압력은 6 mTorr 내지 20 Torr의 범위일 수 있다.
따라서, 산화된 탄소 함유 물질은 휘발성이 된 후에 프로세싱 챔버로부터 제거될 수 있다. 예를 들어, 펌프 또는 진공 시스템이 산화 이후에 프로세싱 챔버로부터 탄소 함유 물질을 제거하는데 사용될 수 있다.
도 6은 일례에 따른 기판을 프로세싱하기 위한 방법(600)을 도시하는 흐름도이다. 기판이 기판 프로세싱 시스템의 프로세싱 챔버 내에 배치된다(602). 기판은 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함할 수 있다. 탄소 함유 물질은 비결정질 탄소, 포토레지스트, 스핀-온-탄소, 및 에칭 후 폴리머 잔여물을 포함할 수 있다.
본 명세서에서 전술한 바와 같이, 증기 처리 영역은 기판 위의 영역일 수 있다(예를 들어, 도 1 또는 도 4의 시스템(100)). 일 구현예에서, 증기 처리 영역은 프로세싱 챔버 외부에 있을 수 있다. 예를 들어, 증기 처리 영역은 UV 광 또는 방사선을 수용하도록 구성된 석영 도관을 포함할 수 있다(예를 들어, 도 2 또는 도 3의 노출 챔버).
일례에서, 기판은 미리 결정된 온도로 유지될 수 있다. 미리 결정된 온도는 프로세싱 챔버의 주어진 압력에서 과산화수소 증기의 응축 온도보다 낮은 온도일 수 있다. 일례에서, 기판은 100 ℃ 미만으로 유지될 수 있다.
과산화수소 증기가 기판 프로세싱 시스템의 증기 처리 영역에서 수용된다(604). 일 실시예에서, 증기 처리 영역은 대기압으로 유지된다. 또한, 과산화수소 증기는 캐리어 기체와 함께 수용될 수 있다. 과산화수소 증기의 농도는 1% 내지 40% 일 수 있다. 예를 들어, 고농도가 유기 제거 반응에 사용될 수 있다.
히드록실 라디칼 증기가 증기 처리 영역에서 과산화수소 증기를 처리함으로써 생성된다(606). 과산화수소 증기를 처리하는 것은, 과산화수소 증기를 충분한 UV 방사선에 노출시키는 것을 포함한다. 충분한 UV 방사선은 당업자에게 이해되는 바와 같이 과산화수소 증기로부터 히드록실 라디칼 증기를 생성시키는 양이다.
일 실시예에서, UV 방사선의 소스는, 예를 들어 도 4에 도시된 바와 같이, 기판이 본질적으로 UV 방사선에 노출되지 않도록 배치된다.
충분한 히드록실 라디칼 증기가 탄소 함유 물질의 층과 접촉하여 탄소 함유 물질이 화학적으로 변성되게 하도록 히드록실 라디칼 증기 및 남아있는 과산화수소 증기가 기판의 작업 표면으로 지향된다(608). 충분한 히드록실 라디칼 증기는 기판이 5분 미만, 바람직하게는 2분 미만 동안 증기에 노출되는 것일 수 있다. 일 실시예에서, 기판은 30초 미만 동안 증기에 노출된다. 탄소 함유 물질의 탄소 구조에 산소 및 수소가 첨가된다. 예를 들어, 탄소 함유 물질은 기체 상태로 산화된다.
일 실시예에서, 기판(104)으로 지향된 히드록실 라디칼 증기는 탄소 함유 물질의 층을 부분적으로 산화시키기에 충분하다.
산화된 탄소 함유 물질은 프로세싱 챔버로부터 제거된다(610).
일 구현예에서, 알칸 또는 알켄이 또한 기판 프로세싱 시스템의 증기 처리 영역에 투입된다. 따라서, 알칸 또는 알켄을 UV 방사선에 노출시킴으로써 증기 처리 영역에서 알칸 또는 알켄을 처리함으로써 유기 과산화물이 생성된다.
도 7은 일례에 따른 예시적인 결과를 도시하는 개략도이다. 그래프(700)는 Brutus(즉, 고농도 과산화수소 가스(건성)) 및 Peroxidizer(즉, 고농도 과산화수소 가스(H2O2 : DIW 혼합물을 농축))에 대한 나노 미터 단위의 비결정질 탄소 제거량을 나타낸다. 그래프(702)는 Brutus 및 Peroxidizer에 대한 비결정질 탄소 제거율을 온도 및 시간의 변화로 나타낸다. 과산화물은 가열된 튜브 반응기에 사용 소스의 포인트로서 공급된다. Brutus의 과산화수소는 낮은 제거량을 나타낸다. Brutus는 과산화수소의 고농도 건성 소스이다(수증기 없음). Peroxidizer의 과산화수소는 그래프(700 및 702)에서 도시된 바와 같이 250C에서 상당한 활성을 나타냈다.
다음으로, 예시적인 실시예에 따른 제어기(118)의 하드웨어 설명을 도 8을 참조하여 설명한다. 도 8에서, 제어기(118)는 본 명세서에 설명된 프로세스를 수행하는 CPU(800)를 포함한다. 프로세스 데이터 및 명령어가 메모리(802)에 저장될 수 있다. 이러한 프로세스 및 명령어는 또한 하드 드라이브(HDD) 또는 휴대용 저장 매체와 같은 저장 매체 디스크(804)에 저장되거나 원격으로 저장될 수 있다. 게다가, 주장되는 진보성은 본 발명의 프로세스의 명령어가 저장되는 컴퓨터 판독 가능 매체의 형태에 의해 제한되지 않는다. 예를 들어, 명령어는 CD, DVD, FLASH 메모리, RAM, ROM, PROM, EPROM, EEPROM, 하드 디스크, 또는 서버 또는 컴퓨터와 같은 제어기(118)가 통신하는 임의의 다른 정보 프로세싱 디바이스에 저장될 수 있다.
게다가, 주장되는 진보성은 CPU(800) 및 Microsoft® Windows®, UNIX®, Oracle ® Solaris, LINUX®, Apple macOSTM 및 당업자에게 알려진 다른 시스템과 같은 운영 체제와 함께 실행되는 유틸리티 애플리케이션, 백그라운드 데몬, 또는 운영 체제의 컴포넌트, 또는 이들의 조합으로서 제공될 수 있다.
제어기(118)를 달성하기 위해, 하드웨어 요소는 당업자에게 알려진 다양한 회로 요소에 의해 실현될 수 있다. 예를 들어, CPU(800)는 미국 Intel의 Xenon 또는 Core 프로세서, 또는 미국 AMD의 Opteron 프로세서일 수 있거나, 당업자가 인식할 수 있는 다른 프로세서 타입일 수 있다. 대안적으로, CPU(800)는 당업자가 인식할 수 있는 바와 같이, FPGA, ASIC, PLD 상에 구현되거나, 이산 논리 회로를 이용하여 구현될 수 있다. 또한, CPU(800)는 상술한 본 발명의 프로세스의 명령어를 수행하기 위해 협력적으로 병렬로 작동하는 다중 프로세서로서 구현될 수 있다.
도 8의 제어기(118)는 또한 네트워크(828)와 인터페이싱하기 위해 미국 Intel Corporation으로부터의 Intel Ethernet PRO 네트워크 인터페이스 카드와 같은 네트워크 제어기(806)를 포함한다. 알 수 있는 바와 같이, 네트워크(828)는 인터넷과 같은 공중 네트워크, 또는 LAN 또는 WAN 네트워크와 같은 사설 네트워크, 또는 이들의 임의의 조합일 수 있으며, PSTN 또는 ISDN 서브 네트워크를 포함할 수도 있다. 네트워크(828)는 또한 Ethernet 네트워크와 같은 유선일 수 있거나, 또는 EDGE, 3G 및 4G 무선 셀룰러 시스템을 포함하는 셀룰러 네트워크와 같은 무선일 수 있다. 무선 네트워크는 Wi-Fi®, Bluetooth® ,또는 알려진 임의의 다른 무선 통신 형태일 수도 있다.
제어기(118)는 Hewlett Packard® HPL2445w LCD 모니터와 같은 디스플레이(810)와 인터페이싱하기 위해 미국 NVIDIA Corporation으로부터의 NVIDIA® GeForce® GTX 또는 Quadro® 그래픽 어댑터와 같은 디스플레이 제어기(808)를 더 포함한다. 범용 I/O 인터페이스(812)는 키보드 및/또는 마우스(814)뿐만 아니라 디스플레이(810) 상에 있거나 또는 디스플레이(810)로부터 분리된 선택적인 터치 스크린 패널(816)과 인터페이싱한다. 범용 I/O 인터페이스는 또한 Hewlett Packard의 OfficeJet® 또는 DeskJet®과 같은 프린터 및 스캐너를 포함한 다양한 주변 장치(818)에도 연결된다.
Creative의 Sound Blaster® X-Fi Titanium®과 같은 사운드 제어기(820)가 제어기(118)에 또한 제공되어, 스피커/마이크로폰(822)과 인터페이싱하여 사운드 및/또는 음악을 제공한다.
범용 저장 제어기(824)는 제어기(118)의 모든 컴포넌트들과 상호 접속하기 위해 ISA, EISA, VESA, PCI 또는 유사한 것일 수 있는 통신 버스(826)와 저장 매체 디스크(804)를 연결한다. 디스플레이 제어기(808), 저장 제어기(824), 네트워크 제어기(806), 사운드 제어기(820), 및 범용 I/O 인터페이스(812)뿐만 아니라 디스플레이(810), 키보드 및/또는 마우스(814)의 일반적인 특징 및 기능에 대한 설명은 이들의 특징이 알려져 있기 때문에 간략함을 위해 본 명세서에서 생략된다.
전술한 설명에서, 프로세싱 시스템의 특정 기하학적 구조 및 본 명세서에 사용되는 다양한 컴포넌트 및 프로세스의 설명과 같은 특정 세부 사항이 설명되었다. 그러나, 본 명세서의 기술들은 이러한 특정 세부 사항들로부터 벗어나는 다른 실시예들에서 실시될 수 있고, 그러한 세부 사항들은 설명을 위한 것이며 제한적인 것은 아니라는 것을 이해해야 한다. 본 명세서에 개시된 실시예들은 첨부 도면을 참조하여 설명되었다. 유사하게, 설명을 위해, 특정 번호, 물질 및 구성이 철저한 이해를 돕기 위해 기재되었다. 그럼에도 불구하고, 실시예들은 이러한 특정한 세부 사항 없이 실시될 수 있다. 실질적으로 동일한 기능 구성을 갖는 컴포넌트는 동일한 참조 부호로 표시되므로, 임의의 중복 설명은 생략될 수 있다.
다양한 기술들이 다양한 실시예들을 이해하는 것을 돕기 위해 다수의 이산 동작들로서 설명되었다. 설명의 순서는 이러한 동작들이 반드시 순서에 의존하는 것을 의미하는 것으로 해석되어서는 안 된다. 정말로, 이러한 동작들은 제시된 순서로 수행될 필요는 없다. 본 명세서에 설명된 동작들은 설명된 실시예들과는 상이한 순서로 수행될 수 있다. 다양한 추가의 동작들이 수행될 수 있고 및/또는 설명된 동작들은 다른 추가의 실시예들에서 생략될 수 있다.
본 명세서에서 사용되는 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 대상물을 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조를 포함할 수 있으며, 예를 들어, 반도체 웨이퍼, 레티클과 같은 베이스 기판 구조, 또는 박막과 같은 베이스 기판 구조 상에 또는 그 위에 있는 층일 수 있다. 따라서, 기판은 패턴화되거나 패턴화되지 않은 임의의 특정 베이스 구조, 밑에 있는 층 또는 위에 있는 층으로 제한되지 않고, 오히려 이러한 층 또는 베이스 구조, 및 층 및/또는 베이스 구조의 임의의 조합을 포함하는 것으로 고려된다. 이 설명은 특정 타입의 기판을 참조할 수 있지만, 이것은 단지 예시를 위한 것이다.
당업자는 또한 본 발명의 동일한 목적을 여전히 달성하면서 전술한 기술의 동작에 많은 변형이 있을 수 있음을 이해할 것이다. 이러한 변형은 본 개시의 범위에 의해 포함되는 것으로 의도된다. 이와 같이, 본 발명의 실시예에 대한 앞서 말한 설명은 제한하기 위한 것이 아니다. 오히려, 본 발명의 실시예에 대한 임의의 제한은 다음의 청구항에 제시된다.

Claims (20)

  1. 기판을 프로세싱하기 위한 방법에 있어서,
    기판 프로세싱 시스템의 프로세싱 챔버 내에 기판을 배치하는 단계로서, 상기 기판은 상기 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함하는 것인, 프로세싱 챔버 내에 기판을 배치하는 단계;
    상기 기판 프로세싱 시스템의 증기 처리 영역에서 과산화수소 증기를 수용하는 단계;
    상기 증기 처리 영역에서 상기 과산화수소 증기를 처리함으로써 히드록실 라디칼 증기를 생성하는 단계; 및
    상기 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 상기 기판의 상기 작업 표면으로 지향시키는 단계로서, 이에 의해 상기 탄소 함유 물질이 화학적으로 변성되게 하는 것인, 지향시키는 단계
    를 포함하는 기판을 프로세싱하기 위한 방법.
  2. 제1항에 있어서, 충분한 히드록실 라디칼 증기가 상기 탄소 함유 물질의 층과 접촉하여, 상기 탄소 함유 물질이 기체 상태로 산화되게 하는 것인, 기판을 프로세싱하기 위한 방법.
  3. 제2항에 있어서,
    상기 프로세싱 챔버로부터 산화된 탄소 함유 물질을 제거하는 단계
    를 더 포함하는 기판을 프로세싱하기 위한 방법.
  4. 제1항에 있어서, 상기 과산화수소 증기를 처리하는 것은, 상기 과산화수소 증기를 자외선 방사선에 노출시키는 것을 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  5. 제4항에 있어서, 상기 과산화수소 증기를 자외선 방사선에 노출시키는 것은, 상기 히드록실 라디칼 증기가 상기 과산화수소 증기로부터 생성되도록 상기 과산화수소 증기를 충분한 자외선 방사선에 노출시키는 것을 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  6. 제1항에 있어서, 상기 히드록실 라디칼 증기를 상기 기판의 상기 작업 표면으로 지향시키는 단계는, 상기 기판을 100 ℃ 미만으로 유지시키는 단계를 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  7. 제1항에 있어서, 상기 히드록실 라디칼 증기를 상기 기판의 상기 작업 표면으로 지향시키는 단계는, 상기 프로세스 챔버의 주어진 압력에서 상기 기판을 상기 과산화수소 증기의 응축 온도 아래의 온도로 유지시키는 단계를 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  8. 제1항에 있어서, 상기 과산화수소 증기를 수용하는 단계는 대기압에서 상기 과산화수소 증기를 수용하는 단계를 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  9. 제1항에 있어서, 상기 증기 처리 영역은, 상기 기판이 상기 프로세싱 챔버 내에 배치될 때 상기 프로세싱 챔버 내에서 상기 기판 위의 영역을 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  10. 제1항에 있어서, 상기 증기 처리 영역은 상기 프로세싱 챔버 외부에 위치하는 것인, 기판을 프로세싱하기 위한 방법.
  11. 제1항에 있어서, 상기 증기 처리 영역은 자외선 광을 수용하도록 구성된 석영 도관을 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  12. 제1항에 있어서, 상기 탄소 함유 물질의 층은 비결정질 탄소, 포토레지스트, 스핀-온-탄소, 및 또는 에칭 후 폴리머 잔여물로 구성된 그룹으로부터 선택되는 것인, 기판을 프로세싱하기 위한 방법.
  13. 제1항에 있어서, 상기 과산화수소 증기를 수용하는 단계는, 캐리어 기체와 함께 상기 과산화수소 증기를 수용하는 단계를 포함하고, 상기 과산화수소 증기의 농도는 1% 내지 40% 인 것인, 기판을 프로세싱하기 위한 방법.
  14. 제1항에 있어서, 상기 히드록실 라디칼 증기를 상기 기판의 상기 작업 표면으로 지향시키는 단계는, 상기 탄소 함유 물질의 층을 부분적으로 산화시키기 위해 충분한 히드록실 라디칼 증기를 지향시키는 단계를 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  15. 제1항에 있어서,
    상기 기판 프로세싱 시스템의 상기 증기 처리 영역에서 알칸 또는 알켄을 수용하는 단계;
    상기 증기 처리 영역에서 상기 과산화수소 증기를 처리하고 상기 알칸 또는 알켄을 처리함으로써 유기 과산화물을 생성하는 단계; 및
    상기 히드록실 라디칼 증기가 상기 탄소 함유 물질의 층과 접촉하도록 상기 히드록실 라디칼 증기, 상기 유기 과산화물, 및 남아있는 과산화수소 증기를 상기 기판의 상기 작업 표면으로 지향시키는 단계
    를 더 포함하는 기판을 프로세싱하기 위한 방법.
  16. 제1항에 있어서, 상기 과산화수소 증기를 자외선 방사선에 노출시키는 것은, 자외선 방사선이 상기 기판의 상기 작업 표면 쪽으로 지향되지 않도록 자외선 방사선의 소스를 배치하는 것을 포함하는 것인, 기판을 프로세싱하기 위한 방법.
  17. 기판 프로세싱 시스템에 있어서,
    기판을 유지하도록 구성된 프로세싱 챔버로서, 상기 기판은 상기 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함하는 것인, 프로세싱 챔버; 및
    히드록실 라디칼 증기 생성 시스템
    을 포함하고, 상기 히드록실 라디칼 증기 생성 시스템은,
    과산화수소 증기를 수용하고,
    상기 과산화수소 증기로부터 히드록실 라디칼 증기를 생성하며,
    상기 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 상기 기판의 상기 작업 표면으로 지향시켜 상기 탄소 함유 물질이 화학적으로 변성되게 하도록 구성되는 것인, 기판 프로세싱 시스템.
  18. 제17항에 있어서, 상기 히드록실 라디칼 증기 생성 시스템은 자외선(ultraviolet; UV) 소스를 포함하는 것인, 기판 프로세싱 시스템.
  19. 기판 프로세싱 시스템에서 기판 세정을 위한 장치에 있어서,
    과산화수소 증기를 수용하도록 구성된 유입구;
    히드록실 라디칼 증기를 생성하기 위해 충분한 자외선(UV) 방사선에 상기 과산화수소 증기를 노출시키도록 구성된 자외선(UV) 소스; 및
    상기 히드록실 라디칼 증기 및 남아있는 과산화수소 증기를 기판 - 상기 기판은 상기 기판의 작업 표면 상에 탄소 함유 물질의 층을 포함함 - 으로 지향시켜 상기 탄소 함유 물질이 화학적으로 변성되게 하도록 구성된 유출구
    를 포함하는 기판 세정을 위한 장치.
  20. 제19항에 있어서, 상기 탄소 함유 물질의 층은 비결정질 탄소, 포토레지스트, 스핀-온-탄소, 및 또는 에칭 후 폴리머 잔여물로 구성된 그룹으로부터 선택되는 것인, 기판 세정을 위한 장치.
KR1020170030064A 2016-03-09 2017-03-09 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법 KR102362672B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662305715P 2016-03-09 2016-03-09
US62/305,715 2016-03-09

Publications (2)

Publication Number Publication Date
KR20170105439A true KR20170105439A (ko) 2017-09-19
KR102362672B1 KR102362672B1 (ko) 2022-02-11

Family

ID=59786966

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170030064A KR102362672B1 (ko) 2016-03-09 2017-03-09 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법

Country Status (5)

Country Link
US (1) US10490399B2 (ko)
JP (1) JP6948808B2 (ko)
KR (1) KR102362672B1 (ko)
CN (1) CN107180774B (ko)
TW (1) TWI774662B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190057468A (ko) * 2017-11-20 2019-05-29 한국과학기술원 서브 챔버를 구비한 iCVD 시스템 및 방법
KR20220086258A (ko) * 2020-12-16 2022-06-23 동명대학교산학협력단 반도체 공정의 화학기계적 연마(CMP)를 위한 연마 입자 분산성 향상을 통한 시너지 효과 극대화와 SiC 및 GaN 기판 가공 방법 및 시스템
KR20220086255A (ko) * 2020-12-16 2022-06-23 동명대학교산학협력단 반도체 공정의 화학기계적 연마(cmp)를 위한 히드록시 라디칼과 용존산소량 제어를 통한 고능률 하이브리드 연마 시스템

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108380569A (zh) * 2018-03-02 2018-08-10 常州瑞择微电子科技有限公司 高浓度oh自由基发生装置
CN110797245B (zh) * 2019-10-28 2022-11-25 北京北方华创微电子装备有限公司 一种半导体加工设备
WO2024074929A1 (en) * 2022-10-03 2024-04-11 Rasirc, Inc. Hydrogen peroxide plasma etch of ashable hard mask

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990083210A (ko) * 1998-04-15 1999-11-25 가네코 히사시 화학적 기계 연마액의 공급 방법 및 장치
JP2000195835A (ja) * 1998-12-24 2000-07-14 Toshiba Corp 半導体装置の製造方法及び製造装置
US20120285492A1 (en) * 2011-05-12 2012-11-15 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US8764905B1 (en) * 2013-03-14 2014-07-01 Intel Corporation Cleaning organic residues from EUV optics and masks
US20150357202A1 (en) * 2014-06-10 2015-12-10 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1212953A (en) * 1982-05-01 1986-10-21 Ian M. Campbell Nitration of organic compounds and organic nitrogen compounds produced
DK0458948T3 (da) * 1989-12-20 1994-12-19 Hughes Aircraft Co Peroxidpræparat til fjernelse af flusmiddelrester og fremgangsmåde til anvendelse deraf
US5269850A (en) * 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
JP3034720B2 (ja) * 1993-03-31 2000-04-17 ウシオ電機株式会社 表面洗浄方法もしくは表面改質方法
JP2002110611A (ja) * 2000-10-04 2002-04-12 Texas Instr Japan Ltd 半導体ウェハの洗浄方法及び装置
JP2002192089A (ja) * 2000-12-25 2002-07-10 Nomura Micro Sci Co Ltd 洗浄方法
JP2003077824A (ja) * 2001-09-06 2003-03-14 Dainippon Screen Mfg Co Ltd 基板処理装置
JP4038557B2 (ja) * 2002-04-16 2008-01-30 リアライズ・アドバンストテクノロジ株式会社 レジスト除去装置及びレジスト除去方法
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7364839B2 (en) * 2002-07-24 2008-04-29 Kabushiki Kaisha Toshiba Method for forming a pattern and substrate-processing apparatus
JP2004073981A (ja) * 2002-08-15 2004-03-11 Tokyo Ohka Kogyo Co Ltd 熱安定化装置の内部洗浄方法
JP4034240B2 (ja) * 2003-06-25 2008-01-16 シャープ株式会社 剥離洗浄方法および剥離洗浄装置
KR20070015260A (ko) * 2005-07-30 2007-02-02 삼성전자주식회사 선형나노선재의 제조방법 및 이에 의한 선형나노선재그리고 선형나노선재를 이용한 박막트랜지스터 기판
TW200738329A (en) * 2005-11-18 2007-10-16 Mitsubishi Gas Chemical Co Method and apparatus for modifying substance
US7527695B2 (en) * 2006-06-21 2009-05-05 Asahi Glass Company, Limited Apparatus and method for cleaning substrate
JP4536711B2 (ja) * 2006-12-25 2010-09-01 東京エレクトロン株式会社 基板処理装置
KR20080109564A (ko) * 2007-06-13 2008-12-17 주식회사 하이닉스반도체 포토마스크의 세정장치 및 이를 이용한 세정방법
JP2010161350A (ja) * 2008-12-09 2010-07-22 Hitachi Kokusai Electric Inc 基板処理方法
TWI526257B (zh) * 2012-11-27 2016-03-21 東京威力科創股份有限公司 使用噴嘴清洗基板上之一層的控制
WO2015070168A1 (en) * 2013-11-11 2015-05-14 Tokyo Electron Limited Method and hardware for enhanced removal of post etch polymer and hardmask removal

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990083210A (ko) * 1998-04-15 1999-11-25 가네코 히사시 화학적 기계 연마액의 공급 방법 및 장치
JP2000195835A (ja) * 1998-12-24 2000-07-14 Toshiba Corp 半導体装置の製造方法及び製造装置
US20120285492A1 (en) * 2011-05-12 2012-11-15 Applied Materials, Inc. Methods of dry stripping boron-carbon films
US8764905B1 (en) * 2013-03-14 2014-07-01 Intel Corporation Cleaning organic residues from EUV optics and masks
US20150357202A1 (en) * 2014-06-10 2015-12-10 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190057468A (ko) * 2017-11-20 2019-05-29 한국과학기술원 서브 챔버를 구비한 iCVD 시스템 및 방법
KR20220086258A (ko) * 2020-12-16 2022-06-23 동명대학교산학협력단 반도체 공정의 화학기계적 연마(CMP)를 위한 연마 입자 분산성 향상을 통한 시너지 효과 극대화와 SiC 및 GaN 기판 가공 방법 및 시스템
KR20220086255A (ko) * 2020-12-16 2022-06-23 동명대학교산학협력단 반도체 공정의 화학기계적 연마(cmp)를 위한 히드록시 라디칼과 용존산소량 제어를 통한 고능률 하이브리드 연마 시스템

Also Published As

Publication number Publication date
TW201801177A (zh) 2018-01-01
US10490399B2 (en) 2019-11-26
JP2017163143A (ja) 2017-09-14
JP6948808B2 (ja) 2021-10-13
KR102362672B1 (ko) 2022-02-11
CN107180774B (zh) 2022-05-31
TWI774662B (zh) 2022-08-21
CN107180774A (zh) 2017-09-19
US20170263436A1 (en) 2017-09-14

Similar Documents

Publication Publication Date Title
KR102362672B1 (ko) 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법
KR102166974B1 (ko) 에칭 후 폴리머의 제거 및 하드마스크 제거의 향상을 위한 방법 및 하드웨어
US6715498B1 (en) Method and apparatus for radiation enhanced supercritical fluid processing
US6350391B1 (en) Laser stripping improvement by modified gas composition
US8734662B2 (en) Techniques providing photoresist removal
JP5305316B2 (ja) エッチング後の処理システムのためのガス分配システム
EP0714119B1 (en) Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
JP4943912B2 (ja) 基板から残渣を除去する方法
JP2012509592A (ja) 灰化方法及び装置による基板工程プラズマ
JP6303008B2 (ja) 異なる波長の二つ以上の紫外光源を用いて基板を処理するシステム
WO2008150443A2 (en) Method and apparatus for laser oxidation and reduction reactions
TWI647756B (zh) 清潔基板用之處理氣體產生
JP2003332313A (ja) 半導体装置の製造方法
JP2006270004A (ja) レジスト膜の除去方法および除去装置
WO2008097462A1 (en) Plenum reactor system
US6489590B2 (en) Laser removal of foreign materials from surfaces
US20060180173A1 (en) System and method for removal of materials from an article
KR20210110579A (ko) 루테늄의 선택적 제거를 위한 광 보조 화학 기상 에칭
CN113614889A (zh) 衬底处理方法及衬底处理装置
JP2011192764A (ja) 膜の除去方法及び膜除去用装置
CN115605983A (zh) 刺激响应聚合物从衬底无残留移除
JPS6332927A (ja) アツシング装置
JPS6370426A (ja) アツシング装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant