JP2012509592A - 灰化方法及び装置による基板工程プラズマ - Google Patents

灰化方法及び装置による基板工程プラズマ Download PDF

Info

Publication number
JP2012509592A
JP2012509592A JP2011537435A JP2011537435A JP2012509592A JP 2012509592 A JP2012509592 A JP 2012509592A JP 2011537435 A JP2011537435 A JP 2011537435A JP 2011537435 A JP2011537435 A JP 2011537435A JP 2012509592 A JP2012509592 A JP 2012509592A
Authority
JP
Japan
Prior art keywords
plasma
gas
nitrogen
oxygen
active
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011537435A
Other languages
English (en)
Inventor
ルゥオ,シージィエン
エスコルシア,オーランド
ワォルドフリード,カルロ
ベリー,イヴァン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of JP2012509592A publication Critical patent/JP2012509592A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

基板から有機材料を除去するための基板工程のプラズマ灰化方法は、フォトレジスト、埋没したフォトレジスト、高分子及び/又は残留物を基板から選択的に除去するために基板にプラズマをさらす工程を一般的に含み、上記プラズマは酸素ガス及び窒素ガスからなる混合ガスのプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率からなる。上記プラズマは基板酸化及びドーパント漂白を最小限にする及び/又は妨げるが、高い処理能力を示す。プラズマ装置についても同様に記載されている。

Description

発明の詳細な説明
〔発明の背景〕
本発明はプラズマ灰化により、半導体基板から有機物質を効率的に除去する手段を提供する基板工程(FEOL)に関する。工程中の基板の酸化および浸食を防止でき、酸素及び窒素の混合ガスのプラズマから算出される活性酸素及び活性窒素の比率よりも、本発明のプラズマ灰化による活性酸素及び活性窒素の比率は、実質的に大きい。
集積回路製造工程は一般的に基板工程(FEOL)及び配線工程(BEOL)の二つに分けることができる。基板工程は集積回路を作る様々な装置の組み立てに焦点が置かれる。一方、配線工程は集積回路の様々な装置間の金属相互接続の形成に焦点が置かれる。国際半導体技術ロードマップは基板工程について、プラズマ灰化を含む多分野において、新装置が直面している重要な課題を明らかにしている。例えば、プラズマ灰化に関する計画において、45ナノメーター(nm)世代では洗浄処理につきわずか0.4オングストロームの、32ナノメーター世代では、わずか0.3オングストロームのシリコン損失を目標としている。
典型的には、極めて微量の添加物、SiGe、高誘電体及びメタルゲートなどが埋まっているシリコンのような傷つきやすい基板材料は、フォトレジスト除去工程の間にはさらされて、基板の損傷が生じうる。基板の損傷は基板浸食(例えば、エッチング、スパッタリング及びその他の操作による基板の一部の物理的除去)、基板の酸化、漂白剤添加、濃度変化又はそれらの組み合わせで生じうる。これらの変化は基板層の電気的、化学的及び物理的な特性を変質させるため、望ましくない。下層内で形成されたパターン化された形状のわずかなズレが、完成した集積回路について装置の性能、生産性、信用性などに悪影響を及ぼしうる。例えば、装置内のソース及びドレインでは、高いドース量の注入を実現する前に、パターン化されたフォトレジスト層が、ソース及びドレイン部分のシリコン基板上に形成される。高いドース量の注入をする間、フォトレジストは、フォトレジスト内でイオンの幅とおおよそ同じ又は当該幅よりもわずかに大きい深さでは、架橋反応を引き起こす比較的高エネルギーの影響を受けやすい。架橋反応及び結果的な水素の喪失は、フォトレジスト層の上部を凝固させ、これは一般的にクラスト(外皮)と呼ばれる。クラストの物理的及び化学的特性はインプラントの状態に大きく左右され、一般的にプラズマ灰化に対して抵抗性をより有することになる。このため、レジストの除去にはより反応性の高いプラズマが必要とされる。しかしながら、同時にレジスト除去工程下では、極めて浅い接合深さは、非常に高い選択性を要求する。高ドース量で注入したものを除去する間、ソース及びドレイン部からのシリコン損失又はシリコン酸化は、避けられなければならない。例えば、過剰なシリコン酸化は、装置の電気的機能を悪化させる接合深さの減少のため、空電漏洩になるだけでなく、与えられた電圧下での電流を飽和させるという悪影響を及ぼしうる。現在のプラズマ灰化方法は一般的にこのような応用には不向きである。
従来の基板工程のプラズマ灰化方法は、水洗い工程に続いて、通常は、酸素を基に行なわれる。酸素によるプラズマ灰化方法は基板の相当量、10オングストローム単位又はそれ以上を表面酸化しうる。プラズマのレジスト除去工程でのシリコン表面酸化により、シリコンが損失することが一般的に知られているため、32nm世代及びそれ以降の世代において、多くの企業は、酸素を基にするプラズマ灰化方法を受け入れることができない。高度な論理回路では、基板の損失はほぼ「0」であることが要求され、また表面酸化に対して非常に敏感なSiGeソース及びドレイン、高誘電体、メタルゲート及びNiSiコンタクトが埋め込まれているような新しい材料が導入されている。同様に、従来のフッ素を含んだプラズマ工程は、基板損失が受け入れ難いことに加えて、ドーパントの漂白が起こる。フォーミングガス(N/H)のような還元剤を使用する、他の基板工程のプラズマ灰化方法では、基板酸化に関してはよい結果を提供するが、レジスト除去の割合が低いため、処理量に関する問題がある。さらに水素プラズマはドーパント分布の変化を引き起こし、それが装置の電気的特性に悪影響を及ぼすことが発見されている。
このため、今までのプラズマ灰化方法は、高度な設計基準における基板工程フローでのフォトレジストの除去には不向きであると一般的には考えられている。結果として、これらの設計基準でのプラズマ灰化に関連した、例えば基板損失、ドーパントの漂白などの克服できない問題、として認識されているため、フォトレジストの湿潤化学除去は大きな注目を浴びている。ここに記載するように、発明者らは、最小限の基板損失、ドーパントの漂白などで済む、設計基準に適している実現可能なプラズマを用いた除去方法を発見してきた。
灰化方法は、エッチング方法とはかなり異なっていることに注目するのは重要である。両工程ともプラズマを媒介しているが、プラズマ化学が、フォトレジストマスクの開口部を通じて基板表面の一部を除去することで、イメージを基板上に永久的に転写するために選択されるという点でエッチング方法は際立って異なっている。エッチングプラズマは、一般的に、基板の一部を選択的に物理的に除去するため、低温度及び低圧力(ミリトール単位)で、基板を高エネルギーイオンにさらす。さらに、イオンにさらされる基板の選択された部分は、一般的に、フォトレジストマスクの除去率よりも大きな比率で除去される。一方、灰化方法は、エッチングの間に形成された、フォトレジストマスク及びあらゆる高分子又は残留物を取り除くことをいう。灰化プラズマ化学はエッチング化学ほどの反応性はなく、一般的に、基板下層の除去率よりもかなり大きい比率でフォトレジストマスク層を除去するために選択されている。さらに、プラズマ反応性及びウェハ処理量を更に向上させるために、ほとんどの灰化方法は基板を加熱し、比較的高圧力(トール単位で)で処理される。つまり、エッチング方法と灰化方法とは、目的はかなり異なっているが、フォトレジスト材料及び高分子材料を除去するためのものであり、また、それら自体が完全に異なるプラズマ化学及びプラズマ工程を必要としている。よい灰化方法は基板上にイメージを永久に転写するためには用いられない。むしろ、よいプラズマ灰化方法は、下層、例えば、基板、低導電体材料などへ影響を及ぼすこと、又はそれらを除去することなく、フォトレジスト、高分子及び/又は残留物を除去する率によって定義される。
前述のことに基づき、この技術について、必要とされることは、設計基準のため必要とされるフォトレジストの除去に対する実現可能な解決策である。
〔発明の簡単な要約〕
本発明は酸素(O)及び窒素(N)の混合ガスのプラズマから得られた活性窒素及び活性酸素の比率よりも実質的に大きい活性窒素及び活性酸素の比率をプラズマ中で提供する工程と装置に関する。
第一の実施形態において、フォトレジスト、埋没したフォトレジスト、高分子及び/又は残留物を基板から除去する、基板工程のプラズマ灰化方法は、反応チャンバ内に、フォトレジスト、高分子及び/又は残留物を含んでいる基板を設置する工程と、酸素ガス及び窒素ガスの混合物から形成されるプラズマから算出される活性酸素に対する活性窒素の比率よりも大きい、活性酸素に対する活性窒素の比率を有するプラズマを、酸素元素及び窒素元素を含む混合ガスから発生させる工程と、上記基板からフォトレジスト、高分子及び/又は残留物を選択的に取り除くために、上記基板を上記プラズマにさらす工程と、を含む。
他の実施形態において、フォトレジスト、高分子及び/又は残留物を基板から除去する、基板工程のプラズマ灰化方法は、反応チャンバ内にフォトレジスト、高分子及び/又は残留物を含んでいる基板を設置する工程と、プラズマを発生させる工程と、上記基板からフォトレジスト、高分子及び/又は残留物を選択的に取り除くために、上記基板を酸素ガス及び窒素ガスの混合物から形成されるプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率を有する上記プラズマにさらす工程と、を含む。
フォトレジスト、高分子、及び/又は残留物を基板から灰化するためのプラズマ装置は、プラズマを形成するための、プラズマ形成構成物であって、酸素ガス及び窒素ガスの混合物が形成するプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率を有するように構成されているプラズマ形成構成物と、プラズマ形成構成物とを流動的に接続しており、上記基板を収容しているプロセスチャンバと、上記基板にプラズマをさらす前に、プラズマから活性酸素を除去するように構成されている、プラズマ及び上記基板を仲介する物質と、を備える。
他の実施形態において、フォトレジスト、高分子及び/又は残留物を基板から灰化するためのプラズマ装置は、プラズマを形成するためのプラズマ形成構成物と、基板を収容しており、上記プラズマ形成構成物と流動的に接続しているプロセスチャンバと、プラズマ内の活性窒素の量を高める、プラズマ及び基板を媒介する物質と、を備える。
更に他の実施形態において、フォトレジスト、高分子、及び/又は残留物を基板から灰化するためのプラズマ装置は、別々のプラズマ発生域と流動的に接続している、少なくとも二つの独立したガス供給源を有しているガス輸送部と、上記基板に混合されたプラズマをさらす前に別々のプラズマ発生域内で形成したプラズマを混合するために構成されている、上記別々のプラズマ発生域と流動的に接続している基板を収容しているプロセスチャンバと、を備える。
更なる実施形態において、フォトレジスト、高分子、及び/又は残留物を基板から灰化するためのプラズマ装置は、プラズマを発生させるための第一ガスを輸送する第一ガス供給源と、プラズマが、酸素ガス及び窒素ガスの混合物から形成するプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率を有するように、活性窒素の生成を増進させるために、プラズマへ第二ガスを輸送する第二ガス供給源と、を備える。
更なる実施形態において、プラズマ装置は、ウェハ表面で、プラズマの電子温度を5.0電子ボルト以下に維持するのに十分な電力と圧力で作動している構成要素が発生させるプラズマを備える。
発明の実施形態に関するこれら及び他の特徴並びに長所は、次に記載された発明の詳細な説明を図面とともに見ることで、より十分に理解されるであろう。請求項の範囲は、その記載により特定され、本明細書に示されている特徴及び長所によっては特定されないことに注意する。
以下、本発明の実施形態について、詳細に説明する。また説明とともに図面を見ることで、より発明を理解することができる。
〔図面の簡単な説明〕
図1は酸素ガス(O)及び窒素ガス(N)から形成された従来技術のプラズマと、本発明に従って形成されたプラズマとにおける、活性酸素に対する活性窒素の量の比較を示す棒グラフである。酸素ガス及び窒素ガスの従来技術のプラズマから算出される活性酸素に対する活性窒素の量よりも、本発明における活性酸素に対する活性窒素の量のほうが実質的に大きい。
図2は、プラズマ発生に用いた混合ガス中での酸素含有量に応じたシリコン酸化成長の量をグラフで表したものである。ガスの構成は、酸素(O)及び窒素(N)の混合ガス並びに酸素(O)及びフォーミングガス(H/N)の混合ガスである。
図3は、従来技術である酸素ガス及び窒素ガスのプラズマから算出されるものより、活性酸素に対する活性窒素の比率が実質的に大きくなるように構成された、典型的なプラズマ装置を模式的に示したものである。
図4は、従来技術である酸素(O)及びフォーミングガス(N/H)の混合ガスから形成したプラズマとフォーミングガス(N/H)から形成したプラズマと、一酸化二窒素(NO)を基にしたプラズマとの、シリコン酸化成長及びフォトレジスト灰化率の比較を表す棒グラフを示している。
図5A〜Cは、一酸化二窒素のプラズマによる基板の損傷と、従来技術の酸素(O)を基にしたプラズマによる基板の損傷とを比較した棒グラフ、及び、p−MOS高ドースイオン埋め込みクリーニング装置(p−MOS high-dose ion implant cleaning application) のポストの走査型電子顕微鏡画像を示している。基板の損傷は(i)シリコン・オン・インシュレーター(SOI)のテスト構造物からのシリコン損失、(ii)むき出しのシリコン製試験用ウェハ上でのシリコン酸化成長、(iii)シリコン加熱酸化試験ウェハからのシリコン酸化損失を含む。図5B及び図5CのSEM画像は、O及びN/Hの混合ガスから形成されるプラズマ(b)、並びに、一酸化二窒素から形成されるプラズマ(c)による除去を行った後に、脱イオン水で洗浄した後の写真である。
図6は、一酸化二窒素を基にするプラズマ、フォーミングガスを基にするプラズマ、酸素ガス及びフォーミングガスを基にするプラズマ並びに高い水素含有率を有する水素ガス/窒素ガスプラズマについて、プラズマ化学に応じた、シリコン基板損失、ドーパント損失、及び、フォトレジスト灰化率を棒グラフで示したものである。
図7は、一酸化二窒素を基にするプラズマと、酸素ガス及びフォーミングガスを基にするプラズマとについて、レジスト除去とシリコン酸化との関係をグラフで表している。グラフは、活性窒素を増加させる構成が有るもの、無いものの一酸化二窒素プラズマ状態、一酸化二窒素ストリッププラズマ状態が最適化された一酸化二窒素プラズマ状態を例示している。
図8は、活性窒素を増加させる構成の有無で得られる図7の一酸化二窒素の活性酸素及び活性窒素の相対的な量、並びに活性酸素及び活性窒素の対応比率を示した棒グラフである。
図9は、酸素ガス及びフォーミングガスから形成されるプラズマと比較した一酸化二窒素を基にするプラズマの強度と波長との関係を表したものである。
図10は、異なる電力下で、一酸化二窒素からのプラズマの、活性酸素及び活性窒素の相対的な量、並びに活性酸素に対する活性窒素の対応比率を示したものである。これらのプラズマについて、対応するシリコン酸化成長も同様に示している。
図11は、一酸化二窒素を基にするプラズマ、CFを添加された一酸化二窒素を基にするプラズマ、Oガス及びフォーミングガスを基にするプラズマ、並びにOガス及びNガスを基にするプラズマについての、活性酸素及び活性窒素の相対的な量、並びに活性酸素に対する活性窒素の比率を示したグラフである。
図12は、酸化させるプラズマについて、電子温度に対するシリコン酸化の量を示したグラフである。
図の構成要素については単純化及び明確化のために記載されており、必ずしも基準を書く必要はないと考えた。
〔発明の詳細な説明〕
ここでは、基板からフォトレジスト、埋没したフォトレジスト、高分子、残留物及び/又は有機材料を選択的に除去するためのプラズマを用いた灰化方法及び装置について開示する。ここで述べるように、プラズマを用いた灰化方法及び装置は、多くの利点とともに、下層材料(例えば高い誘電率を有する材料)について比較的高い灰化率、損失及び損傷を最小又はゼロ、並びにドーパント分布の変化を最小又はゼロにすることを提供する。結果として、ここで述べるプラズマを用いた灰化方法及び装置は、フォトレジスト除去工程で電気的特性を実質的に変化させる必要なく基板損失を最小(0.3オングストローム以下)に保たなければならない32ナノメートル以上の基板工程に適している。
プラズマを用いた灰化方法は、酸素ガス(O)及び窒素ガス(N)の混合ガスから形成されるプラズマから算出される活性酸素に対する活性窒素の比率よりも大きくなるように、活性酸素に対する活性窒素の比率を増加させることを含んでいる。ここで、活性窒素及び活性酸素という語は、エネルギー的に活性であるが、電気的には中性である原子又は分子である窒素及び酸素を指している。図1は酸素ガス(O)及び窒素ガス(N)から形成されるプラズマに基づいて算出される活性窒素及び活性酸素の比率と本発明を実施することにより算出されるそれらの比率との違いを表したものである。左側のグラフとして、従来技術である酸素ガス及び窒素ガスの混合物から形成されるプラズマは、出願人が発見したのだが、プラズマ形成に用いられる酸素ガス及び窒素ガスの特定の構成比率に関係なく、活性窒素よりも活性酸素のほうが比較的高い量を含んでいることを示している。一方、出願人は酸素ガス及び窒素ガスの混合ガスから形成されるプラズマから算出される、活性酸素に対する活性窒素の比率よりも大きくなるように、活性酸素に対する活性窒素の比率を増加させる手段をいくつか発見してきた。
図2は、プラズマ形成のための酸素ガス(O)及び窒素ガス(N)の両方を含んでいる従来技術の混合ガス中における酸素ガスの含有量に応じた酸素成長を、図で示している。評価されている混合ガスには、酸素ガス及びフォーミングガスを含む混合物と同様に酸素ガス及び窒素ガスの混合物も含まれている。フォーミングガスは窒素ガスの中に3%の水素ガスが含まれているものである。微量の酸素であっても基板の酸化には悪影響を及ぼす。酸素濃度が0パーセントであってもごくわずかな表面変成が観察された。二つのガス混合物においては、シリコン酸化を著しく高めるプラズマ内で活性水素種が形成されたことを示すフォーミングガスを含むガス内で形成したプラズマにおいて、より高い酸化率が観察された。活性酸素に対する活性窒素の比率を変えることで、出願人は表面の酸化を最小限にすることのできる、予想外の手段を発見した。比較のため、窒素元素及び酸素元素の両方を含んでいるガス、例えば一酸化二窒素から形成されるプラズマは、類似の状況下において、酸素を含有することの作用によって、4オングストローム以下の酸化成長を観察した。
ここにより詳細を記載するが、プラズマ内の活性酸素に対する活性窒素の比率を高めるには様々な方法があり、フィルター、ゲッタリング剤並びにOの活性によりプラズマ内に形成される活性酸素を除去及び/又は吸収するようなものを使用することが含まれる。それらの方法によって、プラズマ内の活性酸素の量を減らすことで活性酸素に対する活性窒素の比率を変えることができる。他の方法としては、窒素元素及び酸素元素を含むガスを添加した混合ガスからプラズマを形成することで活性窒素の量を増加させることも含まれる。実施例の方法によって、一酸化二窒素(NO)又は一酸化二窒素を含む混合ガスからプラズマを生み出すことで、プラズマ中の活性酸素の量に対する活性窒素の量の大幅な増加を提供することが発見された。このようにして、酸素ガス(O)及び窒素ガス(N)から形成されるプラズマから算出される活性酸素に対する活性窒素の比率より大幅に当該比率を増加させたプラズマを提供することが可能になる。触媒の使用、ガスの添加、プラズマ工程での圧力低下、低電力の設定、プラズマチャンバ内に異なる材料(例えばサファイアに対して、石英で形成されている調整板(バッフル;baffle)を上部に設置)及び同様のものが、単独又は組み合わせて用いられる。これにより酸素ガス及び窒素ガスから形成されるプラズマから算出されるよりもかなり大きくなるように活性酸素に対する活性窒素の比率を増加させることができる。
一つの実施形態において、プラズマ灰化方法は混合ガスから活性窒素及び活性酸素を含む反応種を形成すること、並びに基板をその反応種にさらすことを一般的に含んでいる。プラズマ混合ガスの個々の構成要素は、活性酸素に対する活性窒素の比率を変化させるために採用する具体的な実施態様に、一般的に依存する。例えば、ガス状の一酸化二窒素単独、又は、フッ素含有ガス、酸化ガス、不活性ガス、還元ガス及びそれらの様々な組み合わせと一酸化二窒素との混合物から、プラズマが形成されうる。加えて、一酸化二窒素ガス又は一酸化二窒素ガスを含む混合ガスは、フォトレジスト除去率を高めるため及び/又は下層の物質(例えば、誘電体、基板、金属、ドーパント濃度等)への損傷を最小限にするための種々の添加物を更に含んでいてもよい。酸素ガス及び窒素ガスを使用することで算出されるプラズマ内の活性酸素に対する活性窒素の比率に対して、一酸化二窒素を使用することは、その値を増加させるのに特に適しているが、酸素元素及び窒素元素の両方を含んでいるもの、例えば、二酸化窒素、三酸化窒素なども考えられることに注目すべきである。
さらに、混合物は、プロセスチャンバ内で混合される二つ又はそれ以上のプラズマから形成されうる。例えば、酸素含有ガスから形成されるプラズマは、窒素含有ガスにより形成されるプラズマと混合されうる。このようにして、酸素ガス(O)から形成されうるプラズマもあれば、活性窒素の増加をもたらす窒素含有ガスから形成されうるプラズマもある。逆に窒素ガス(N)から形成されるプラズマもあれば、酸素含有ガスから形成されるプラズマもある。
図3は、複合的なプラズマを発生させる模式的な装置10を示している。プラズマ装置10は、概してガス輸送要素12、プラズマ発生要素14、プロセスチャンバ16及び排出管18を有している。ガス輸送要素12は、プラズマ発生要素14と流動的に接続している一つ以上のガス供給源20と流動的に接続している、ガス浄化装置(図示せず)を備えていてもよい。混合ガスからプラズマを形成するために適したエネルギー源の一例としてマイクロ波による励起を用いるプラズマ発生要素304は、マイクロ波封入体36を備えている。マイクロ波封入体36は、通常、仕切られている長方形の箱であり、プラズマ管38が貫通している。活性種を生成するために、注入ガスをプラズマ中で励起するように、マイクロ波プラズマ形成要素14が構成されることは、この技術では知られていることである。マイクロ波のエネルギーに加えて、プラズマ発生要素304はまたRFエネルギー励起などで作動しうる。プラズマ管38は、複数のガス注入口22を備えており、図ではそれが二つ示されている。そして、ガス20が、ガス輸送要素12からガス注入口22に供給される。ガス注入口から延びるプラズマ管の一部はプラズマエネルギー源から下流へ接続している。このようにして、装置内で異なるプラズマが形成され、基板にさらされる前に、それらは混合される。
反応種は、一度活性化されると、レジストで覆われた半導体ウェハ等のワークピース(workpiece) 24の表面に一様に運ばれるために、プロセスチャンバ16内部へ導入される。この点では、一枚又はそれ以上のバッフル板26,28はプロセスチャンバ16内に備えられている。バッフル板を操作する特別な方法はここには記載しないが、参考文献Ser No.10/249,964にてこの操作に関する追加情報は述べられている。プラズマの上流で生成された反応種によるフォトレジスト及び/又はポストエッチングの残留物の反応率を高めるために、ワークピース24は熱源(例えば、図には示していないが、タングステンハロゲンランプなど)を並べたものにより加熱してもよい。底板30(赤外線に対して透明)はプロセスチャンバ16と熱源32との間に設置されている。排出管18の注入口34は、排出ガスを排出管18に輸送するために底板の開口部に流動的に接続されている。
また、プラズマ灰化装置10は基板にプラズマをさらす前に、後に混合される様々なガスの流れから様々なプラズマが形成されるようにすることで、発明の実施に関連して使用される装置の一例を示したものであると理解されるべきである。他の適切なプラズマ装置には、広域源プラズマのようなバッフルのないもの及び一つのプラズマ管で構成されているものと同様に、より低い電子温度をもたらす、約100トールで操作する中圧プラズマシステム(MPP)が含まれる。
様々な実施形態において、窒素元素を含有しているガスとして好ましいものは、N、NO、NO、N、NH、NF、N、C、HCN、NOCl、ClCN、(CHNH、(CH)NH、(CHN、CNH又はこれらの混合物が含まれるが、これに限定されない。
混合ガスに添加される不活性ガスとして好ましいものとしては、ヘリウム、アルゴン、窒素、クリプトン、キセノン、ネオンなどが挙げられるが、特に限定されない。
フッ素元素を含んでいるガスは、プラズマによって活性化されたときフッ素反応種を発生させるガスであるフッ化化合物を含むものが好ましい。一つの実施形態では、ガスであるフッ化化合物はプラズマ形成状況下でガスであり、また一般式Cを有する化合物の群から選択されるものである。ここで、xは0から4までの整数であり、yは0から9までの整数であり、zは1から9までの整数であり、x=0であればy,zは両方とも1であり、y=0であればxは1から4,zは1から9の間の整数である。他のフッ素含有ガスはF及びSFがあり、混合物も含まれるが、一般式Cで定義されるフッ素元素含有ガスが望ましい。
選択性を最大化するために、フッ素元素含有ガスは、プラズマにさらされたとき、プラズマ混合ガスのプラズマ総量の約5パーセントよりも少ない。他の実施形態では、プラズマにさらしたとき、フッ素元素含有化合物はプラズマ混合ガスの総量の約3パーセント以下である。更に他の実施形態では、プラズマにさらしたとき、フッ素元素含有化合物はプラズマ混合ガスの総量の約1パーセント以下である。
適切な還元ガスには、H、CH、NH、Cといった水素元素含有ガスが含まれるが、これらには限定されない。Cについては、xは1から3までの整数であり、yは1から6までの整数である。ここで使用される水素元素含有化合物は、エッチング及び残留物のエッチング処理を行う間に生成される高分子の除去の選択性を向上させるために十分な水素原子種を生成するものである。プラズマ形成の条件下で、ガス状態で存在しており、フリーラジカル又は水素イオンのような水素原子種を生成する水素を放出する水素元素含有化合物が特に好ましい。また、水素元素含有化合物に基づく炭化水素は、臭素、塩素若しくはフッ素のようなハロゲン、酸素、窒素又はヒドロキシル基及びアミノ基と部分的に置換されていることもある。
水素ガス(H)は混合ガスの形態が好ましい。一実施形態において、水素ガスを含む混合ガスは水素ガス及び不活性ガスを含んだものである。適切な不活性ガスの例としては、アルゴン、窒素、ネオン、ヘリウムなどが含まれる。水素ガス及び窒素ガスを必須の要素として含んでいる、いわゆるフォーミングガスといわれる水素ガスを含む混合ガスが特に好ましい。フォーミングガスの総量に対して約1パーセントから約5パーセントの量の水素ガスを有するものが特に好ましい。5パーセント以上になっても利用できるが、水素ガスの爆発の危険から安全性が問題になる。
適切な酸化ガスとしては、O、O、CO、CO、HOなどがあり、特に制限されない。酸化ガスを使用する際は基板にさらす前にプラズマからあらゆる酸素ラジカル種(O)及び酸素原子種(O‐)も除去することが一般的には好ましい。基板酸化の原因となる要素はO及びO‐種が基板と反応することである。これらの種はSiO表面の酸化成長を通じて容易に放散し、そして比較的厚い酸素成長をすることになる。さらに、これらの種の放散は電場存在下で活発になり、又は表面酸化が誘導されうる。このため、酸化成長を最小化するための方法は、次の二つの問題、すなわち、O及びO‐形成を抑えること、並びに電場及び酸化を減らす又は除去することに焦点を当てることである。上記の通り、プラズマ工程中の反応チャンバ内の圧力を増加すること、添加物の添加、窒素元素及び酸素元素の両方を含むガス(例えば、一酸化窒素)の添加並びにフィルターの使用(原子及びイオンフィルター)により、灰化による除去は影響を受け得る。
プラズマ灰化方法は従来のプラズマ灰化システムで実行できる。本発明は、ある特定のプラズマ灰化用の機器に制限されることは意図していない。例えば、誘導結合プラズマ反応器を用いたプラズマアッシャーが用いられ、又はダウンストリームプラズマアッシャーが用いられうる。つまり、マイクロ波により促進されるもの、Rfにより促進されるものなどである。特定のプラズマアッシャーのための設定及び最適化は、この分野の当業者の技術の範囲内である。プラズマアッシャーは一般的にプラズマ形成チャンバ及びプラズマ反応チャンバを備えている。模式的なものを示すと、Axcelis Technologies, Inc.から入手できる300mm RpS320 ダウンストリームマイクロ波プラズマアッシャーである。基板は反応チャンバ内で室温から450℃の間で加熱される。工程中の温度は一定又は変化するものであり、また連続的又は段階的に変化し得る。温度上昇は灰化率を上昇させるための方法として、この分野の当業者から認識されている。反応チャンバ内の圧力は約0.1トール又はやや高い値まで下げることが好ましい。約0.5から4トールの間で圧力を調整することがより好ましい。プラズマ中で活性酸素に対する活性窒素の比率を高めるために、望ましくない酸素種(例えば、O、O‐)が気相で再結合することが望まれる例等のいくつかの応用例では、4トールよりも高い圧力が利用され、またいくつかの実施形態では10トールを超えるような圧力に調整され得る。ガスを活性化させ、かつプラズマエネルギー源を形成するために使われる電力は約1000ワット(W)から約5000ワットの間が好ましい。プラズマ中の活性酸素に対する活性窒素の比率を高めるためにより低い電力設定がなされ得るが、これは他の種類のプラズマ灰化装置に適用できる。
酸素及び窒素を含む混合ガスはガス注入口を経由して、プラズマ形成チャンバ内に送り込まれる。活性化された又は高エネルギーを有する原子を混合ガスから生成するために、混合ガスは、プラズマ形成チャンバ内で、エネルギー源、例えば、好ましくは約1000ワットから約5000ワットの間のマイクロ波にさらされる。形成されたプラズマはプラズマ混合ガス内のガスから形成された電気的中性粒子及び荷電粒子を含んでいる。一つの実施形態において、プラズマがウェハに到達する前に、荷電粒子は選択的に除去される。300mmのダウンストリームプラズマアッシャーでは、総ガス流量は、好ましくは約500から12000(cm/min;sccm)である。フォトレジスト、イオンが埋没したフォトレジスト、高分子残留物及び有機物質のようなものはプラズマによって発生した活性化された又は高エネルギーを有する原子(例えば、活性種)による反応によって基板から選択的に除去される。エンドポイント(end point) を検知することにより、当業者によって、光学的に反応がモニタリングされる。状況に応じて、プラズマ工程の間に生じた揮発性化合物及び/又は洗浄により除去可能な化合物を除去するためにプラズマ灰化工程後の洗浄工程がなされる。一つの実施形態において、洗浄工程は脱イオン水で行うが、それはフッ化水素酸のような化合物を含んでいてもよい。洗浄工程を含む場合、約1分から10分のすすぎの後、脱水工程を含み得る。
例として、プラズマ装置に対する改良は、活性酸素に対する活性窒素の比率を増加させ得る。一つの実施形態において、プラズマ中の活性酸素の量を減少させるために、酸素原子及び/又は酸素イオンフィルター及び/又は触媒物質が、基板及びプラズマ源の中間に配置される。このフィルターは触媒フィルター、物質フィルター、表面再結合フィルター、ガス相再結合フィルターなどである。例として、そのフィルターは、活性ガスがウェハ表面で相互反応する前に通過させるために、表面が反応性を有する金属若しくは金属アロイ、セラミックス、石英又はサファイア製のようなものがあり得る。反応表面の形及び粗さだけでなく、反応表面の温度を制御することで、このフィルターの性能を高めることが可能である。他の実施形態において、二重バッフル板を利用したプラズマ灰化装置は、サファイアで形成されるものとは反対側の、上バッフル板を石英で形成することで、活性酸素に対する活性窒素の比率を高めることが発見されたため、そのような装置に改良している。サファイアの代わりに石英製のプラズマ管を形成することで、似たような効果が観察されている。プラズマ含有物内の活性酸素含有量を減らす際に使用されるゲッタリング剤として適切なものは、B、Mg、Al、Be、Ti、Cr、Fe、Mn、Ni、Rb、Ir、Pb、Sr、Ba、Csなどのような金属、PrNi、NdNi17などのような金属間化合物、TiO,Ta,ZrO,Al,FeOなどのようなセラミックス、CO、NO、炭化水素、炭化フッ素などのようなガス物質、又はSi,Geのような半導体若しくは有機金属化合物であり、これらに限定されない。活性窒素の生成に適した触媒としては、Fe、Co、Ni、Ru、Re、Pt、Mo、Pdなどのような金属、MgAlのようなセラミックスが含まれる。He、Ar、Kr、Xeのようなガスを添加すること、プラズマ源の表面物質及び温度のようなプラズマ源の設計要素、周波数の活性化、電力密度、電子温度、混合ガス率などのようなプラズマ源の作動方式によって活性窒素反応が促進される。
他の実施形態において、基板に活性種をさらす前に選択的に荷電粒子を除去するダウンストリームプラズマアッシャー、例えば、Beverly, MAのダウンストリームマイクロ波プラズマアッシャー(商品名Axcelis Technologies, IncのRpS320)が利用できる。基板工程において、基板を活性種にさらす前に、活性種からすべての荷電粒子を実質的に除去することが一般的には望ましい。このようにすれば、基板の電気的特質に悪影響を及ぼすかもしれない荷電粒子に、基板がさらされない。フォトレジスト、高分子及び/又は残留物除去に効果を発揮する電気的中性の反応種に基板がさらされる。
高伝導性物質及びメタルゲート物質でのプラズマ灰化方法の両立性を維持するという要求が追加的/新規的に生じる。両立性を促進するためには、一酸化二窒素の混合ガス又は活性酸素に対する活性窒素を増加させるために利用され得る、上述した様々な手段の全ては、フォトレジスト及び埋没した外皮物質を除去するために十分な反応性を維持する間、これらの材料への損傷を減少させるために選択される添加物を含み得る。CF、CHF、C、HBr、Br、HCl、Cl、BCl、CHCl、CHClのようなハロゲン含有物質などが化学的添加物には好ましいが、特に限定はされない。これらのハロゲン含有添加物は、フォトレジストに埋没したイオンの外皮、いわゆるフォトレジスト層の一部の除去を高めるために、効果的に使用され得る。このようにして、多工程を有するプラズマ灰化方法は外皮を除去するために用いられ、選択的に行われる下層のフォトレジスト、高分子及び残留物を除去するために、反応性の低いプラズマ化学が続き、付加的な表面安定化処理又は残留物除去プラズマ工程が続く。例えば、イオンが埋没したフォトレジストのプラズマ灰化工程間で、ゲート電極及び/又はゲート誘電体を保護するために、最初の工程として、フォトレジスト外皮を除去するためのハロゲン含有添加物を含んでいる一酸化二窒素の混合ガスでのプラズマを形成する工程を含み得る。次に、ガス状の一酸化二窒素だけを含んでいるプラズマ形成、すなわち、ハロゲン含有添加物を含んでいるプラズマよりも反応性がかなり低いプラズマのプラズマ形成を含むプラズマ灰化工程が続く。酸素ガス及び窒素ガスのプラズマから算出することのできる活性窒素及び活性酸素の比率よりも、大きな活性窒素及び活性酸素の比率をプラズマが有するために、一回以上の多段階のプラズマ工程を必要としないことは注目に値する。いくつかの実施形態において、活性酸素に対する活性窒素の比率がより高い所望のプラズマを形成するために、ただ一つの多段階工程しか含んでいない。
プラズマ灰化方法は、基板損失を最小化、ドーパント漂白を最小化、ドーパントの分布変化、ドーパント濃度の変化及びその他の利点を有し、半導体基板からフォトレジスト、イオンが埋没したフォトレジスト、高分子及び/又はポストエッチングの残留物を灰化、すなわち除去するために用いられ得る。有利なことに、一酸化二窒素のプラズマ灰化方法は、シリコンよりも10000:1以上の灰化選択性を持たせるように最適化され得る。
フォトレジストは、一般的には基板下層にイメージを伝達するために使用される有機感光フィルムである。本発明ではG線(g-line)、I線(I-line)、深紫外線(DUV)、193nm、157nm、電子ビーム(e-beam)、極紫外線(EUV)、液浸露光装置などの下で使用されるフォトレジストの灰化に一般的に適している。フォトレジストとしては、ノボラック、ポリビニルフェノール、アクリレート類、アセタール、ポリイミド、ケタール、環状オレフィンなどが含まれるが、これらには限定されない。本発明における使用に適した、他のフォトレジストの形態としては、この発明の開示により、当業者には明らかになる。フォトレジストの化学者及び開発者に応じてフォトレジストはプラスに作用もするが、マイナスにも作用する。
基板は、集積回路の製造に使用されている半導体基板であれば、基本的に、いかなるものであってもよい。半導体基板としては、シリコン、不純物含有シリコン、シリコン−ゲルマニウム基板(例えば、SiGe)、シリコン・オン・インシュレーター(SOI)、高誘電性物質、W、Ti、TiN、TaNなどのような金属、GaAs、カーバイド、窒化物、酸化物などが好ましい。有利なことに、この方法は、ドープされた領域のような半導体基板からの物質の損失が望ましくないあらゆる装置の製造に適している。
次の例は発明を説明する目的のためにのみ示したものであり、発明の範囲を制限するものではない。
〔実施例1〕
本実施例において、シリコン基板上にコーティングされているフォトレジストは、Axcelis Technologies, Inc.から商業的に入手できるRpS320プラズマ灰化機器内にて、化学的に放出された一酸化二窒素にさらされた。フォトレジストはi線フォトレジストであり、Fuji Companyから商業的に入手できる商品名10iで、1.9ミクロンの厚さでシリコン基板上に設置された。プラズマ化学は、一酸化二窒素を7標準L/mim(slm)の流速で、圧力1トール、温度240℃、電力3500ワットで、プラズマ装置内で形成された。
灰化率、クロスウェハの均一性、一酸化二窒素プラズマによる除去工程の酸化成長は、酸素を含んでいないプラズマ(フォーミングガス)及び酸素を基にするプラズマで比較した。還元プラズマは圧力1トール、240℃及び電力3500ワットの条件におけるプラズマ灰化装置に流速7slmで、フォーミングガス(水素3パーセント含有)を送り込んでできる混合ガスから形成された。酸素を基にするプラズマは90%酸素ガス(O)及び10%フォーミングガス(窒素ガス中に3%水素ガス含有)を用いて形成し、圧力1トール、240℃及び電力3500ワットの設定におけるプラズマ灰化装置に流速7slmで送り込んだ。
それぞれのプラズマにフォトレジストを8〜15秒間さらした後、灰化率及び不均一性を測定した。酸化成長はコーティングされていないシリコンを300秒間それぞれのプラズマにさらして、測定した。
図4は結果を示している。予期した通り、酸素を基にするプラズマによる酸化成長は約12オングストローム(Å)と顕著になり、かつ約7.8μm/minの最も高い灰化率が測定された。対照的に、還元プラズマ及び一酸化二窒素プラズマは、酸素を基にするプラズマと比較して顕著な改善を示し、灰化率はより小さくなった。一酸化二窒素プラズマを、還元プラズマと比較すると、より小さな酸化成長を示した。つまり、一酸化二窒素プラズマは約3.0Åであり、還元プラズマは〜4Åであった。とりわけ、約1.0μm/minの灰化率であった還元プラズマに対して一酸化二窒素を基にするプラズマは約4μm/minの灰化率を示した。また、同じ工程条件下では、一酸化二窒素を基にするプラズマの灰化不均一性(不均一性=2.8%)はフォーミングガスの場合(>10%)よりも著しく良好であった。
〔実施例2〕
本実施例においては、様々なプラズマに少量のCFを添加して、RpS320プラズマ灰化装置内で処理した。シリコン基板を様々なプラズマ化学にさらして、酸化成長を測定した。その結果は下記の表1に示している。それぞれの例では、圧力1トール、240℃及び電力3500ワットの設定におけるプラズマ灰化装置に混合ガスの流速7slmで送り込み、それぞれのプラズマを形成した。表に示した通り、プラズマ灰化装置内を流れたCFの量は、20cm/mim(sccm)であった。
Figure 2012509592
表1に示したように、プラズマ形成間に流れていたCFは、酸化成長に証明されるように基板損失を最小限にした。また、有利なことに、実施例1で観察された結果に比較して、灰化率を効果的に増加させる、より活性化された種を生み出すと予期される。
〔実施例3〕
本実施例においては、RpS320プラズマ灰化装置を使用して、一酸化二窒素から形成されるプラズマについてのシリコン損失、酸化成長及び酸化損失の観点から基板損失を測定し、少量のテトラフルオロカーボンを含有する場合及び含有しない場合における、O/フォーミングガスの混合ガスから形成される先行技術のプラズマと比較した。フォーミングガス組成物は窒素ガス中に3%の水素ガスを含む。その結果は図5Aにてグラフを用いて示されている。それぞれの例では、圧力1トール、240℃及び電力3500ワットの設定におけるプラズマ灰化装置に混合ガスの流速7slmで送り込み、それぞれのプラズマを形成した。プラズマ灰化装置内を流れるCFの量は、20cm/mim(sccm)であった。基板の損傷としては、(i)シリコン・オン・インシュレーター(SOI)からのシリコン損失、(ii)むき出しの試験用ウェハ上のシリコン−酸化成長及びシリコン熱酸化試験ウェハからのシリコン−酸化損失が含まれていた。パネル(b)及び(c)は、p−MOS高ドースイオン埋め込みクリーニング装置のポストの走査型電子顕微鏡画像を比較したものである。SEM画像は、O及びN/Hの混合ガスから形成されたプラズマ(c)、並びに一酸化二窒素ガスから形成されるプラズマによる除去を行ない、脱イオン水による洗浄を行った後のものを示している。一酸化二窒素の混合ガスからのプラズマの残留物除去能力の方が実質的に改善されていることを示している。
活性酸素に対する活性窒素の比率が比較的高いプラズマでは、基板への損傷が実質的に減少したことを明確に示す結果となった。テトラフルオロカーボン無しの酸化プラズマからは、残留物が観察された。さらに、図5B及び5Cが示すように、残留物の除去は一酸化二窒素のプラズマを使用することで顕著に改善された。
〔実施例4〕
本実施例においては、一酸化二窒素ガス、フォーミングガス(3%H、97%N)、酸素ガス(90%)及びフォーミングガス(10%)並びに高濃度の水素ガスを有するフォーミングガス(90%H及び10%N)から形成される種々のプラズマを用いて、プラズマ工程間でのドーパント損失、基板損失及び灰化率を観測した。ガス流速7slm及びマイクロ波電力3500ワットですべてのプラズマを形成した。プラズマ工程間は240℃で基板を加熱した。シリコン酸化工程の時間は5分間であった。レジスト除去を決定する工程時間は8〜15秒であった。ドーパント分布試験のため、覆われたシリコンウェハは2keVのエネルギー及び5.0E14のドースでAs又はBFを埋め込んだ。ウェハを5分間、様々な灰化プラズマにさらし、10秒間、1050℃で焼きなました。ドーパントの外形を決定するために二次イオン質量スペクトル(SIMS)分析を行ない、また、シート抵抗を測定するためにシート抵抗(Rs)測定を行った。結果を図6にグラフに示す。
図6に示したように、活性酸素に対する活性窒素の比率が一番高いものを用いて形成したプラズマは灰化率及び酸化に加えてAs及びBFが埋め込まれた両方に対して健全な振る舞いを示した。
〔実施例5〕
本実施例においては、活性窒素を高濃度に構成した場合の効果について示す。サファイア管(活性窒素を高める構成)を有するRpS320プラズマ源を構成することにより、石英管(活性窒素を高める構成は無い)で構成した場合に比べて、シリコン酸化が減少するという結果を示した(図7)。この典型的な窒素を高める構成(石英プラズマ管に対するサファイアプラズマ管)は活性窒素を増加するという結果を示した一方、活性酸素の量は実質的に変化しないままで、活性酸素に対する活性窒素の比率は増加させたことを、図8は示している。図7は、最適化されたマイクロ波電力、温度及び実質的にシリコン酸化を減らすことを示すプラズマ管構成からなる一酸化二窒素プラズマにとって最適化された構成を更に示している。
ここに示すように、通常の酸素及びフォーミングガスの構成から形成されるプラズマを比較すると、一酸化二窒素から形成されるすべてのプラズマは、レジスト除去の機能を果たす酸化がより低かった。さらに、温度及び電力設定を低下させることで、より低い酸化及び増加する灰化率が得られた。一酸化二窒素から形成されるプラズマは、フォーミングガスの制御されたプラズマと比較するとかなり速い灰化率を示した。
〔実施例6〕
本実施例においては、90%酸素ガス及び10%フォーミングガス(3%H/97%N)から形成した通常のプラズマ工程と比較した一酸化二窒素から形成したプラズマを分析するために、発光スペクトルを用いた。それぞれのガスからのプラズマを3500ワット及びガス流速7slmでRpS320内にて形成した。プラズマの光学発光は、ウェハレバーでのプロセスチャンバ上にあるのぞき窓を通じて、オーシャン・オプティクス(Ocean Optics)の光学発光分光計を用いて集められた。
図9は、強度と波長との関係をグラフで示したものである。一酸化二窒素から形成されるプラズマ内で生成されるN 活性種に対応している約300から380nmの間での発光シグナルは注目に値する。対照的に、認識可能なN は通常のプラズマ工程では観察されなかった。活性N(O、N )に対する活性酸素の比率は一酸化二窒素の工程より通常のプラズマ工程の方が際立って高かった。理論付けはできないが、N は一酸化二窒素工程において、より低い酸化に寄与しているだけでなく、灰化率についても同様に、より低い値となることに寄与していると考えられる。この結果に加えて、一酸化二窒素に基づく工程は、明確により多くのNOを生成したことがグラフには示されている。
〔実施例7〕
本実施例においては、一酸化二窒素から形成されたプラズマに対するマイクロ波プラズマと活性酸素に対する活性窒素の比率との関係を測定するために、光学発光スペクトルを用いた。RapidStrip320灰化装置を用いて、プラズマ化学を、プラズマ灰化装置内を圧力1.0トール及び温度240℃、一酸化二窒素ガスの流速を7標準L/mim(slm)にして形成した。図10で示されている通り、マイクロ波電力の低下に応じて、比率が増加し、また比率1.2が、2.5kWのもっとも低い設定で確認された。実験した一酸化二窒素プラズマ条件下におけるシリコン表面酸化の相対量については、活性プラズマ窒素及び活性酸素の比率に対するシリコン酸化の量が良い相関であることを示している。
〔実施例8〕
本実施例においては、(i)一酸化二窒素ガス、(ii)CFを添加物として含む一酸化二窒素ガス、(iii)90%酸素ガス及び10%フォーミングガス(3%H/97%N)の混合ガス、及び、(iv)90%酸素ガス及び10%窒素ガスの混合ガスのそれぞれから形成されるプラズマの、活性酸素に対する活性窒素の比率を測定するために、光学発光スペクトルを用いた。説明のため、図11に示されているそれぞれのプラズマについての活性酸素及び活性窒素の測定量を、O+Nプラズマにおける測定値を反映させるために標準化した。活性酸素に対する活性窒素の比率は、一酸化二窒素ガス混合物から形成されるプラズマによる値は実質的により高く、O及びFGガス混合物から形成されるプラズマによる値は実質的により低いものである。これは、初期に報告されたシリコン酸化の量とよく相関している。活性酸素の量は四つの評価されたプラズマすべてで比較的似ていること、及びプラズマの活性窒素の量に顕著な違いがあることは言及するに値する。
〔実施例9〕
本実施例においては、図12は、酸化プラズマに対して、電子温度に対するシリコン酸化の量をグラフで表したものである。90%酸素ガス及び10%フォーミングガスから形成されたプラズマは、プラズマの電子温度が上昇するにつれ、シリコン酸化が指数関数的に増加していることを示している。シリコン酸化を低くするには、約5.0電子ボルト以下の電子温度に保つ必要がある。
ここで使用されている専門用語は特定の実施形態を記載する目的のためであり、発明の範囲を制限する意図ではない。英語の原文での“a”、“an”、“the”という単数形は、文脈で明確に単数形の意味で使用していない場合には、複数形の場合も意図している。“第一”、“第二”などの語句の使用は特定の順序を表しているのではなく、個々の要素を識別するために含まれているものである。“comprises”及び/又は“comprising” 又は“includes”及び/又は“including”という語句が、明細書中で使用されているとき、定まった特徴、領域、整数、ステップ、操作、要素及び/又は部品の存在を意味しており、他の特徴、領域、整数、ステップ、操作、要素及び/又は部品が一つ以上存在する又は追加されることを除外してはいない。
特に定義が無ければ、ここで使用されているすべての語句(技術専門用語)は本発明の実施形態に属する通常の当業者によって共通して理解される意味である。共通して使用される辞書に定義されているような語句は、従来技術及び公知技術の文脈内での意味で一貫している意味を持つように解釈されるべきであり、ここで表現されていない限り他の意味で解釈するべきではない。
本発明の実施形態として、典型的なものが記載されているが、様々な変形が可能で、かつ本発明と同等のものとして、発明の実施形態の範囲から逸脱することなく構成要素を代替要素に変えることも当業者にとっては可能である。さらに、本発明の実施形態の教授に対して本発明の範囲を逸脱することなく、変形例として特定の状況又は物質を適応させうる。それゆえ、この発明を実施するための最善の形態として実施形態で開示されている特定の実施形態に、本発明の実施形態は制限されないものであるが、請求項の範囲内すべての実施形態を本発明は含んでいる。さらに、第一、第二という言葉は、何らかの順序又は重要性を表しているものではなく、第一、第二という言葉はある要素を他と区別するために使用している。a、anという語の使用は量の制限を意味しているのではなく、少なくとも一つのものが存在していることを表している。
図1は酸素ガス(O)及び窒素ガス(N)から形成された従来技術のプラズマと、本発明に従って形成されたプラズマとにおける、活性酸素に対する活性窒素の量の比較を示す棒グラフである。酸素ガス及び窒素ガスの従来技術のプラズマから算出される活性酸素に対する活性窒素の量よりも、本発明における活性酸素に対する活性窒素の量のほうが実質的に大きい。 図2は、プラズマ発生に用いた混合ガス中での酸素含有量に応じたシリコン酸化成長の量をグラフで表したものである。ガスの構成は、酸素(O)及び窒素(N)の混合ガス並びに酸素(O)及びフォーミングガス(H/N)の混合ガスである。 図3は、従来技術である酸素ガス及び窒素ガスのプラズマから算出されるものより、活性酸素に対する活性窒素の比率が実質的に大きくなるように構成された、典型的なプラズマ装置を模式的に示したものである。 図4は、従来技術である酸素(O)及びフォーミングガス(N/H)の混合ガスから形成したプラズマとフォーミングガス(N/H)から形成したプラズマと、一酸化二窒素(NO)を基にしたプラズマとの、シリコン酸化成長及びフォトレジスト灰化率の比較を表す棒グラフを示している。 図5A〜Cは、一酸化二窒素のプラズマによる基板の損傷と、従来技術の酸素(O)を基にしたプラズマによる基板の損傷とを比較した棒グラフ、及び、p−MOS高ドースイオン埋め込みクリーニング装置(p−MOS high-dose ion implant cleaning application) のポストの走査型電子顕微鏡画像を示している。基板の損傷は(i)シリコン・オン・インシュレーター(SOI)のテスト構造物からのシリコン損失、(ii)むき出しのシリコン製試験用ウェハ上でのシリコン酸化成長、(iii)シリコン加熱酸化試験ウェハからのシリコン酸化損失を含む。図5B及び図5CのSEM画像は、O及びN/Hの混合ガスから形成されるプラズマ(b)、並びに、一酸化二窒素から形成されるプラズマ(c)による除去を行った後に、脱イオン水で洗浄した後の写真である。 図5A〜Cは、一酸化二窒素のプラズマによる基板の損傷と、従来技術の酸素(O)を基にしたプラズマによる基板の損傷とを比較した棒グラフ、及び、p−MOS高ドースイオン埋め込みクリーニング装置(p−MOS high-dose ion implant cleaning application) のポストの走査型電子顕微鏡画像を示している。基板の損傷は(i)シリコン・オン・インシュレーター(SOI)のテスト構造物からのシリコン損失、(ii)むき出しのシリコン製試験用ウェハ上でのシリコン酸化成長、(iii)シリコン加熱酸化試験ウェハからのシリコン酸化損失を含む。図5B及び図5CのSEM画像は、O及びN/Hの混合ガスから形成されるプラズマ(b)、並びに、一酸化二窒素から形成されるプラズマ(c)による除去を行った後に、脱イオン水で洗浄した後の写真である。 図5A〜Cは、一酸化二窒素のプラズマによる基板の損傷と、従来技術の酸素(O)を基にしたプラズマによる基板の損傷とを比較した棒グラフ、及び、p−MOS高ドースイオン埋め込みクリーニング装置(p−MOS high-dose ion implant cleaning application) のポストの走査型電子顕微鏡画像を示している。基板の損傷は(i)シリコン・オン・インシュレーター(SOI)のテスト構造物からのシリコン損失、(ii)むき出しのシリコン製試験用ウェハ上でのシリコン酸化成長、(iii)シリコン加熱酸化試験ウェハからのシリコン酸化損失を含む。図5B及び図5CのSEM画像は、O及びN/Hの混合ガスから形成されるプラズマ(b)、並びに、一酸化二窒素から形成されるプラズマ(c)による除去を行った後に、脱イオン水で洗浄した後の写真である。 図6は、一酸化二窒素を基にするプラズマ、フォーミングガスを基にするプラズマ、酸素ガス及びフォーミングガスを基にするプラズマ並びに高い水素含有率を有する水素ガス/窒素ガスプラズマについて、プラズマ化学に応じた、シリコン基板損失、ドーパント損失、及び、フォトレジスト灰化率を棒グラフで示したものである。 図7は、一酸化二窒素を基にするプラズマと、酸素ガス及びフォーミングガスを基にするプラズマとについて、レジスト除去とシリコン酸化との関係をグラフで表している。グラフは、活性窒素を増加させる構成が有るもの、無いものの一酸化二窒素プラズマ状態、一酸化二窒素ストリッププラズマ状態が最適化された一酸化二窒素プラズマ状態を例示している。 図8は、活性窒素を増加させる構成の有無で得られる図7の一酸化二窒素の活性酸素及び活性窒素の相対的な量、並びに活性酸素及び活性窒素の対応比率を示した棒グラフである。 図9は、酸素ガス及びフォーミングガスから形成されるプラズマと比較した一酸化二窒素を基にするプラズマの強度と波長との関係を表したものである。 図10は、異なる電力下で、一酸化二窒素からのプラズマの、活性酸素及び活性窒素の相対的な量、並びに活性酸素に対する活性窒素の対応比率を示したものである。これらのプラズマについて、対応するシリコン酸化成長も同様に示している。 図11は、一酸化二窒素を基にするプラズマ、CFを添加された一酸化二窒素を基にするプラズマ、Oガス及びフォーミングガスを基にするプラズマ、並びにOガス及びNガスを基にするプラズマについての、活性酸素及び活性窒素の相対的な量、並びに活性酸素に対する活性窒素の比率を示したグラフである。 図12は、酸化させるプラズマについて、電子温度に対するシリコン酸化の量を示したグラフである。

Claims (37)

  1. 反応チャンバ内に、フォトレジスト、高分子及び/又は残留物を含んでいる基板を設置する工程と、
    酸素ガス及び窒素ガスの混合物から形成されるプラズマから算出される活性酸素に対する活性窒素の比率よりも大きい、活性酸素に対する活性窒素の比率を有するプラズマを、酸素元素及び窒素元素を含む混合ガスから形成する工程と、
    上記基板からフォトレジスト、高分子及び/又は残留物を選択的に取り除くために、上記基板をプラズマにさらす工程と、
    を含む、フォトレジスト、埋没したフォトレジスト、高分子及び/又は残留物を上記基板から除去する、基板工程(front end of line) のプラズマ灰化方法。
  2. 少なくとも一つの上記酸素元素及び窒素元素を含む混合ガスが、一酸化二窒素である、請求項1に記載の基板工程の灰化方法。
  3. 活性窒素の生成を増進させる触媒に、上記酸素元素及び窒素元素を含む混合ガスをさらす工程を含む、請求項1に記載の基板工程の灰化方法。
  4. 活性窒素の生成を増進させるためのガスを、上記酸素元素及び窒素元素を含む混合ガスに加える工程を含む、請求項1に記載の基板工程の灰化方法。
  5. 石英によって形成されているプラズマ管内で、プラズマを発生させる工程を含む、請求項1に記載の基板工程の灰化方法。
  6. 上記混合ガス中の活性酸素の量を減らすためのフィルターに、プラズマを通過させる、請求項1に記載の基板工程の灰化方法。
  7. 上記混合ガス中の活性酸素の量を減らすためのゲッタリング剤に、プラズマをさらす工程を含む、請求項1に記載の基板工程の灰化方法。
  8. 活性窒素の生成を増進させるために、プラズマ及び上記基板を収容しているチャンバ内の圧力を減らす工程を含む、請求項1に記載の基板工程の灰化方法。
  9. 上記酸素元素及び窒素元素を含む混合ガスを、無線周波エネルギーにさらしてプラズマを形成する工程を含む、請求項1に記載の基板工程の灰化方法。
  10. 上記酸素元素及び窒素元素を含む混合ガスを、極超短波エネルギーにさらしてプラズマを形成する工程を含む、請求項1に記載の基板工程の灰化方法。
  11. 上記基板をプラズマにさらす工程の前に、反応種から実質的に全ての荷電粒子を除去する工程を含む、請求項1に記載の基板工程の灰化方法。
  12. プラズマが5電子ボルト以下の電子を有する、請求項1に記載の基板工程の灰化方法。
  13. 上記混合ガスがさらにCFを含んでいる、請求項2に記載の基板工程の灰化方法。
  14. 反応チャンバ内にフォトレジスト、高分子及び/又は残留物を含んでいる基板を設置する工程と、
    プラズマを発生させる工程と、
    上記基板からフォトレジスト、高分子及び/又は残留物を選択的に取り除くために、酸素ガスと窒素ガスの混合物から形成されるプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率を有するプラズマに、上記基板をさらす工程と、
    を含む、フォトレジスト、高分子及び/又は残留物を上記基板から除去する、基板工程のプラズマ灰化方法。
  15. 活性酸素と比較して活性窒素の方の生成を増進させる触媒に、上記プラズマをさらして、酸素ガス及び窒素ガスを含む混合ガスのプラズマから得られる活性窒素及び活性酸素の比率より大きい活性酸素及び活性窒素の比率を有するプラズマを形成する、請求項14に記載の基板工程の灰化方法。
  16. プラズマを発生させるための混合ガスに、ガスを加えることで、酸素ガス及び窒素ガスを含む混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性酸素及び活性窒素の比率を有するプラズマを形成する、請求項14に記載の基板工程の灰化方法。
  17. 上記基板をプラズマにさらす前に、プラズマ内の活性酸素の量を減らすために、プラズマをフィルターにさらすことで、酸素ガス及び窒素ガスを含む混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性酸素及び活性窒素の比率を有するプラズマを形成する、請求項14に記載の基板工程の灰化方法。
  18. 上記基板をプラズマにさらす前に、プラズマ内の活性酸素の量を減らすためのゲッタリング剤に、プラズマをさらすことで、酸素ガス及び窒素ガスを含む混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性酸素及び活性窒素の比率を有するプラズマを形成する、請求項14に記載の基板工程の灰化方法。
  19. プラズマ及び基板を収容している反応チャンバ内の圧力を、活性酸素に対して活性窒素の生成を高めるために効果的な圧力に減少させることで、酸素ガス及び窒素ガスを含む混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性酸素及び活性窒素の比率を有するプラズマを形成する、請求項14に記載の基板工程の灰化方法。
  20. 上記基板をプラズマにさらす前に、プラズマを石英製の調整板(バッフル;baffle)に接触させることで、酸素ガス及び窒素ガスを含む混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性酸素及び活性窒素の比率を有するプラズマを形成する、請求項14に記載の基板工程の灰化方法。
  21. 酸素ガス及び窒素ガスを含む混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性窒素及び活性酸素の比率を含んでいるプラズマを、石英によって形成されているプラズマ管内で形成する、請求項14に記載の基板工程の灰化方法。
  22. 酸素ガス及び窒素ガスからなる混合ガスのプラズマから算出される活性窒素及び活性酸素の比率より大きい活性窒素及び活性酸素の比率を含んでいるプラズマを、酸素元素及び窒素元素の両方を含む少なくとも一つのガスを含む混合ガスで形成する、請求項14に記載の基板工程の灰化方法。
  23. プラズマが5電子ボルト以下の電子温度を有する、請求項14に記載の基板工程の灰化方法。
  24. 少なくとも一つの上記酸素元素及び窒素元素を含む混合ガスが一酸化二窒素である、請求項22に記載の基板工程の灰化方法。
  25. 窒素元素を含むガスが窒素のとき、酸素元素を含むガスが酸素でなく、及び酸素元素を含むガスが酸素のとき、窒素元素を含むガスが窒素でない、という条件で、混合ガスが窒素元素を含むガス及び酸素元素を含むガスを含む、請求項22に記載の基板工程の灰化方法。
  26. プラズマを形成するための、プラズマ形成構成物であって、酸素ガス及び窒素ガスの混合物が形成するプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率を有するように構成されているプラズマ形成構成物と、
    プラズマ形成構成物とを流動的に接続しており、基板を収容しているプロセスチャンバと、
    上記基板にプラズマをさらす前に、プラズマから活性酸素を除去するように構成されている、プラズマ及び上記基板を仲介する物質と、
    を備える、フォトレジスト、高分子、及び/又は残留物を基板から灰化するためのプラズマ装置。
  27. 上記物質がゲッタリング剤である、請求項26に記載のプラズマ装置。
  28. 上記物質が、表面再結合フィルター、触媒フィルター及び気相再結合フィルターからなる群より選択される一つである、請求項26に記載のプラズマ装置。
  29. フィルターが酸化アルミニウムセラミックス又はサファイアから形成されている、請求項26に記載のプラズマ装置。
  30. プラズマを形成するためのプラズマ形成構成物と、
    基板を収容しており、上記プラズマ形成構成物と流動的に接続しているプロセスチャンバと、
    プラズマ内の活性窒素の量を高める、プラズマ及び基板を媒介する物質と、
    を備える、フォトレジスト、高分子及び/又は残留物を基板から灰化するためのプラズマ装置。
  31. 上記物質が触媒である、請求項30に記載のプラズマ装置。
  32. 5電子ボルト以下の電子温度を有する、請求項30に記載のプラズマ装置。
  33. 別々のプラズマ発生域と流動的に接続している、少なくとも二つの独立したガス供給源を有しているガス輸送部と、
    基板に、混合されたプラズマをさらす前に別々のプラズマ発生域内で形成したプラズマを混合するために構成されている、上記別々のプラズマ発生域と流動的に接続している基板を収容しているプロセスチャンバと、
    を備える、フォトレジスト、高分子、及び/又は残留物を基板から灰化するためのプラズマ装置。
  34. 窒素を含むガスを供給するためのガス供給源、及び、酸素を含むガスを供給するためのガス供給源の、少なくとも二つの独立したガス供給源を備える、請求項33に記載のプラズマ装置。
  35. 5電子ボルト以下の電子温度を有する、請求項33に記載の基板工程の灰化方法。
  36. プラズマを発生させるための第一ガスを輸送する第一ガス供給源と、
    プラズマが、酸素ガス及び窒素ガスの混合物から形成するプラズマから算出される活性窒素及び活性酸素の比率よりも大きい活性窒素及び活性酸素の比率を有するように、活性窒素の生成を増進させるために、プラズマへ第二ガスを輸送する第二ガス供給源と、
    を備える、フォトレジスト、高分子、及び/又は残留物を基板から灰化するためのプラズマ装置。
  37. 5電子ボルト以下の電子温度を有する、請求項36に記載の基板工程の灰化方法。
JP2011537435A 2008-11-21 2009-11-20 灰化方法及び装置による基板工程プラズマ Pending JP2012509592A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/275,394 US20100130017A1 (en) 2008-11-21 2008-11-21 Front end of line plasma mediated ashing processes and apparatus
US12/275,394 2008-11-21
PCT/US2009/006270 WO2010059252A2 (en) 2008-11-21 2009-11-20 Front end of line plasma mediated ashing processes and apparatus

Publications (1)

Publication Number Publication Date
JP2012509592A true JP2012509592A (ja) 2012-04-19

Family

ID=42132117

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011537435A Pending JP2012509592A (ja) 2008-11-21 2009-11-20 灰化方法及び装置による基板工程プラズマ

Country Status (7)

Country Link
US (1) US20100130017A1 (ja)
EP (1) EP2347439A2 (ja)
JP (1) JP2012509592A (ja)
KR (1) KR20110095908A (ja)
CN (1) CN102232243B (ja)
TW (1) TW201030798A (ja)
WO (1) WO2010059252A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110088882A (zh) * 2016-12-14 2019-08-02 马特森技术有限公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
US20120024314A1 (en) * 2010-07-27 2012-02-02 Axcelis Technologies, Inc. Plasma mediated ashing processes
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110143548A1 (en) * 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
GB201006330D0 (en) * 2010-04-15 2010-06-02 Linde Ag Gas delivery devices and methods
JP5837793B2 (ja) 2010-11-30 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理装置のバッフル構造
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9098103B1 (en) 2013-03-06 2015-08-04 Maxim Integrated Products, Inc. Current limit circuit for DC-DC converter
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
SG11201603358PA (en) * 2014-03-04 2016-09-29 Canon Anelva Corp Vacuum process apparatus and vacuum process method
WO2015134156A1 (en) 2014-03-06 2015-09-11 Applied Materials, Inc. Plasma foreline thermal reactor system
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN106206596B (zh) * 2016-07-27 2019-05-03 上海华虹宏力半导体制造有限公司 分栅式闪存器件制造方法
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN106847742A (zh) * 2017-01-22 2017-06-13 信利(惠州)智能显示有限公司 阵列基板的制作方法及阵列基板
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113764687A (zh) * 2020-06-01 2021-12-07 南京航空航天大学 一种高效锌空气电池空气电极的双功能电催化剂:等离子体处理超薄三元纳米片FePSe3的制备和应用
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05102101A (ja) * 1991-02-15 1993-04-23 Fujitsu Ltd 半導体装置の製造方法
JPH09223684A (ja) * 1996-02-15 1997-08-26 Tokyo Electron Ltd プラズマ処理装置
JPH09296271A (ja) * 1996-05-02 1997-11-18 Samuko Internatl Kenkyusho:Kk プラズマcvd反応室清掃方法及びプラズマエッチング方法
JPH11251294A (ja) * 1998-02-27 1999-09-17 Sony Corp 半導体装置の製造方法
JP2002124518A (ja) * 1997-07-02 2002-04-26 Yamaha Corp 配線形成法
JP2007266609A (ja) * 2006-03-28 2007-10-11 Tokyo Electron Ltd 基板から残渣を除去する方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63216346A (ja) * 1987-03-04 1988-09-08 Matsushita Electric Ind Co Ltd 有機物のエツチング方法
KR930004115B1 (ko) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6105588A (en) * 1998-05-27 2000-08-22 Micron Technology, Inc. Method of resist stripping during semiconductor device fabrication
US6218640B1 (en) * 1999-07-19 2001-04-17 Timedomain Cvd, Inc. Atmospheric pressure inductive plasma apparatus
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US20060124588A1 (en) * 1999-01-05 2006-06-15 Berg & Berg Enterprises, Llc System and method for reducing metal oxides with hydrogen radicals
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6706142B2 (en) * 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
GB0114712D0 (en) * 2001-06-15 2001-08-08 South Bank Univ Entpr Ltd Vehicle mirror
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
CN100490073C (zh) * 2002-11-20 2009-05-20 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US20050136681A1 (en) * 2003-12-23 2005-06-23 Tokyo Electron Limited Method and apparatus for removing photoresist from a substrate
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US7361605B2 (en) * 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8057633B2 (en) * 2006-03-28 2011-11-15 Tokyo Electron Limited Post-etch treatment system for removing residue on a substrate
KR100814409B1 (ko) * 2006-08-14 2008-03-18 삼성전자주식회사 애싱 방법 및 이를 수행하기 위한 장치
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
CN101153396B (zh) * 2006-09-30 2010-06-09 中芯国际集成电路制造(上海)有限公司 等离子刻蚀方法
US20080179008A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Reactor for wafer backside polymer removal using an etch plasma feeding a lower process zone and a scavenger plasma feeding an upper process zone
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05102101A (ja) * 1991-02-15 1993-04-23 Fujitsu Ltd 半導体装置の製造方法
JPH09223684A (ja) * 1996-02-15 1997-08-26 Tokyo Electron Ltd プラズマ処理装置
JPH09296271A (ja) * 1996-05-02 1997-11-18 Samuko Internatl Kenkyusho:Kk プラズマcvd反応室清掃方法及びプラズマエッチング方法
JP2002124518A (ja) * 1997-07-02 2002-04-26 Yamaha Corp 配線形成法
JPH11251294A (ja) * 1998-02-27 1999-09-17 Sony Corp 半導体装置の製造方法
JP2007266609A (ja) * 2006-03-28 2007-10-11 Tokyo Electron Ltd 基板から残渣を除去する方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110088882A (zh) * 2016-12-14 2019-08-02 马特森技术有限公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
JP2020502794A (ja) * 2016-12-14 2020-01-23 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 急速熱活性化プロセスと連係した、プラズマを使用する原子層エッチングプロセス

Also Published As

Publication number Publication date
WO2010059252A2 (en) 2010-05-27
CN102232243B (zh) 2013-11-06
KR20110095908A (ko) 2011-08-25
CN102232243A (zh) 2011-11-02
US20100130017A1 (en) 2010-05-27
EP2347439A2 (en) 2011-07-27
WO2010059252A3 (en) 2010-07-15
TW201030798A (en) 2010-08-16

Similar Documents

Publication Publication Date Title
JP2012509592A (ja) 灰化方法及び装置による基板工程プラズマ
US20120024314A1 (en) Plasma mediated ashing processes
US20140076353A1 (en) Plasma mediated ashing processes
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
JP4562813B2 (ja) 半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング
US6848455B1 (en) Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US6951823B2 (en) Plasma ashing process
JP5911068B2 (ja) ワークピース上の誘電体層から材料を除去する方法および装置、並びに、ワークピース上の誘電体層から材料を除去する段階を備える集積回路を製造する方法
TWI281688B (en) Post etch photoresist strip with hydrogen for organosilicate glass low-K etch applications
JPH0277125A (ja) 有機物の灰化方法
KR102192281B1 (ko) 순수 환원성 플라즈마에서 높은 종횡비 포토레지스트 제거를 위한 방법
US10453673B2 (en) Removal of metal
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)
JP2005051236A (ja) フルオロカーボンエッチングプラズマ中における次亜フッ素酸塩、フルオロペルオキシド及び(又は)フルオロトリオキシドの酸化剤としての使用
KR20010095228A (ko) 에칭후 포토레지스트 및 잔유물 제거 공정
JP2001507515A (ja) 表面からの異物のレーザ除去
JP4484110B2 (ja) プラズマ処理方法、およびプラズマ処理装置
Yang et al. Reducing CF x residue from Etching Process by Optimizing Post Plamsa Treatment
TW201220006A (en) Plasma mediated ashing processes
Hwang Conditioning of the silicon surface after reactive ion etching
Kaler Etching of Si and SiNx by Beams Emanating from Inductively Coupled CH3F/O2 and CH3F/CO2 Plasmas

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121115

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20130806

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130806

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140514

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140610