JP4562813B2 - 半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング - Google Patents

半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング Download PDF

Info

Publication number
JP4562813B2
JP4562813B2 JP53827097A JP53827097A JP4562813B2 JP 4562813 B2 JP4562813 B2 JP 4562813B2 JP 53827097 A JP53827097 A JP 53827097A JP 53827097 A JP53827097 A JP 53827097A JP 4562813 B2 JP4562813 B2 JP 4562813B2
Authority
JP
Japan
Prior art keywords
gas
ashing
resist layer
fluorine
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP53827097A
Other languages
English (en)
Other versions
JP2001501364A (ja
JP2001501364A5 (ja
Inventor
ハン スー
リチャード エル バーシン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of JP2001501364A publication Critical patent/JP2001501364A/ja
Publication of JP2001501364A5 publication Critical patent/JP2001501364A5/ja
Application granted granted Critical
Publication of JP4562813B2 publication Critical patent/JP4562813B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Description

(発明の分野)
本発明は、製造中、半導体ウェハ表面のフォトレジストをクリーニングし、ストリッピングすることに関する。
(発明の背景)
ウェハに多数の半導体デバイスを製造するため、フォトリソグラフィ工程、エッチング、薄膜成膜及びまたはイオン注入工程が交互に行われ、デバイスが形成される。一般に、フォトリソグラフィ工程は、ウェハをフォトレジストで、特に紫外線(UV)感光性の有機材料で被膜する工程と、マスクを介してフォトレジストを露光する工程と、レジストを現像する工程と、ウェハ表面に一定の露光領域を残すように露光されたレジストをエッチングする工程とを含んでいる。さらに成膜、注入或いはエッチングのような処理工程は露光領域で行われる。
一般に、エッチングは、ウェハをケミカルエッチング剤に浸す湿式エッチング処理でも、ダウンストリーム型マイクロ波プラズマエッチングまたは反応性イオンエッチング(以下、「RIE」という。)のような乾式エッチング処理でも行える。フォトレジストの表面にパターンを形成した後、そして露光領域にさらなる処理工程が行われた後、フォトレジストはストリッピングされる
ダウンストリーム型マイクロ波処理は、ウェハが電気的な損傷に耐えられない場合に、レジストをストリップするために、酸素原子を用いて行う。しかしながら、酸素原子は化学反応性が非常に大きいので、酸素原子は、クリーニング及びストリッピング用チャンバに使用されるアルミニウムのような金属表面で急速に再結合する。この反応の特質とその結果に影響を及ぼす要素とはよく理解されておらず、従って、製造者は、酸素原子でダウンストリーム型マイクロ波アッシング(灰化)するためにアルミニウム製チャンバを使用することを避けている。
(発明の概要)
主に酸素と形成ガスとを用いた処理に少量のフッ素が付加されるならば、アッシングレートは高められ、金属表面における処理変化性は除去され、酸素の損失も最小に維持されるということが判った。ここに述べられているものとして、少量とは約0.5%以下、好ましくは約0.1〜0.2%を意味する。この処理には、ダウンストリーム型マイクロ波処理或いはRIE処理を付加的に組み合わせることができる。
この処理は、アルミニウム製チャンバにおいて、信頼性のある性能で、アルミニウム表面の変化とは無関係に、高いアッシングレートを達成するために使用される。他のものでは使用される石英の代わりにアルミニウムを使用することで、ウェハに到達する前にガス流から帯電したイオンを完全に除去し、従って、ウェハ上のデバイスには、帯電も電気的損傷もない。その他の特徴と利点は、以下の詳細な説明、図面及び請求の範囲の記載から明らかになる。
【図面の簡単な説明】
図1は、エッチング及びストリッピング用装置の部分ブロック図を、部分的に表したものである。
図2は、種々の処理におけるアッシングレートと酸素損失とを比較するグラフである。
(詳細な説明)
図1を参照して、処理されるべき半導体ウェハ10は、エッチング及びまたはクリーニング用の密閉処理チャンバ16内において水平に配置された加熱板(ホットプレート)12の上方に位置する。一般に平坦かつ円形であり、直径が4〜8インチであるウェハは支持ピン18に水平に載置され、この支持ピンは、加熱板12の開口部を通ってかつその厚さ方向に延びている。従って、ウェハ10と加熱板12とは平行な水平面に位置する。
処理ガスはガス源20から導入管21を介してチャンバ16内に導入される。導入管21内のマイクロ波発生源22は、導入管にマイクロ波プラズマ24を生じさせ、高濃度の活性フリーラジカルで反応ガス26を放電する。ガス26は、ウェハの上方に取付けられ、かつ援用された特許記載のように形成された対向電極28の開口部(図示せず)を通過する。適切な条件下では、活性フリーラジカルが、レジストをガスに転化することにより、ウェハ10上のレジスト膜を分解し、気化する。真空排気系32は排気管34を通してこれらのガスを排出し、チャンバを50〜2000mTorrの範囲の圧力に維持する。
高周波(RF)発生源30は、対向電極28と基板電極としての役割を果たす加熱板12とに電気的に接続される。従って、対向電極28と基板電極12とが2重のカソードを形成する。高周波発生源30は、ウェハ10の上方に高周波プラズマ32aを生じさせる高周波(RF)電圧を供給する。高周波(RF)プラズマ32aは、ウェハ10からレジストをアッシングする反応性イオンを作る。
透明カバー48と終点検出器50とは、高周波プラズマにより生じるアッシングの開始と終了とを検出するために使用される。終点検出器50はフィルターと光学式検出器とを備え、この光学式検出器は、アッシングの間に、OHラジカルが形成される時、高周波プラズマに放出されるフォトンを検出する。
マイクロ波プラズマから放電された高濃度のフリーラジカルを有する反応性ガス26を作り出すためにマイクロ波発生源22の作動中に、ガスはガス源20から導入される。そうすると、そのフリーラジカルをイオン化するためのマイクロ波発生用反応性ガス26内に高周波プラズマを生じることができる。例えば、ガス源20からの処理ガスがCF4及び酸素を含有する場合、マイクロ波プラズマで放電したガスは、ウェハ上方のガス内において高濃度のフッ素及び酸素ラジカルをそれぞれ含有する。その際、高周波プラズマがこの放電するガス内に生じる場合、作り出されたイオンは、マイクロ波放電ガス自体のイオンと、或いはマイクロ波放電のないCF4及び酸素ガスの高周波プラズマのイオンとも相違する。この相違するプラズマが、エッチング及びストリッピングの間に、実質的にアッシングを促進することを知見した。
チャンバ16は乾式アッシングを行うために使用することができ、この乾式アッシングは、ダウンストリーム型マイクロ波処理、RIE処理、同時のこれら両処理、或いは連続的及びまたは交互のこれら両処理を有している。従来、ハロゲン(Hal)処理或いはノーハロゲン(NoHal)処理と称されかつ本発明の譲受人により開発された2つの処理は、ダウンストリーム型マイクロ波プラズマエッチングに使用されていた。
ハロゲン処理の1実施例では、以下の処理パラメータで行われる。
(1)1000sccmのO2
(2)30〜150sccmのN22
(3)10〜140sccmのCF4或いはNF3
(4)0.45Torrの圧力
(5)1500Wのマイクロ波出力
この処理は、約100℃或いはそれ以下の温度を意味する「低温」で、或いは少なくとも約150℃を意味する「高温」で行われる。約1〜10%のフッ素は、チャンバから排気できるHFを形成するため、レジスト内で水素と反応する。しかし、フッ素と水素の反応はまた、レジスト表面に酸素原子が反応しかつ低温で灰化する反応部を生じる
ノーハロゲン(NoHal)処理の実施例は、以下の処理パラメータで行われる。
(1)9000sccmのO2
(2)540sccmのN22
(3)2Torrの圧力
(4)2000Wのマイクロ波出力
(5)上昇温度、即ち200℃〜280℃の高温
この処理は高温で行われ、他のシリコン化合物の損失なしに灰化する。しかし、チャンバの一部がアルミニウム製である場合、チャンバ内の反応によりいくらかの酸素が失われると考えられる。
本発明の処理は、ローハロゲン(LoHal)処理と呼ばれ、この処理パラメータは、好ましくは約0.5%より少ない、より好ましくは約0.1〜0.2%であるCF4、NF3、或いはSF6のような少量のフッ素を含有するガスを使用することを除いては、ノーハロゲン処理のものに類似する。
好ましい処理条件を以下に示す。
(1)9000sccmのO2の混合ガス
(2)540sccmのN22
(3)5〜20sccmのCF4
(4)約2000Wのマイクロ波出力
(5)約2.0Torrの圧力
図2を参照して、約260℃で行われた例では、ローハロゲン処理が、約2.5〜3ミクロン/分、再測定でもほぼ3.5ミクロン/分のアッシングレートを有していることが判った。このレートは、類似のノーハロゲン処理におけるレートより約2〜3倍高い。というのは、フッ素量が少ないので、SiO2やSi34のような感光フィルムの実質的なエッチングが観測されないからである。これらのテストにおいて、再テストの後であっても、酸素損失が3オングストローム/分より小さい。
酸素ラジカルを測定するための発光をモニターすることによって、少量のフッ素により酸素ラジカル量が増加した。このことは、フッ素により、酸素がチャンバの表面に及びチャンバ内の他の部品に付着することを防止することを示唆している。従って、フッ素はチャンバ内面を保護すると考えられる。
ローハロゲン(LoHal)処理は、この処理の前後において他の乾式処理と組合わせることができる。例えば、ハロゲン処理、RIE処理、或いはRIE処理を伴うハロゲン処理をローハロゲン処理に引続き行うことができる。
そのような処理の1実施例は、ローハロゲン処理とRIE処理とを組み合わされたものであり、ダマシン処理に続くエッチング処理用CF4及びNF3のいずれか1つを有する。このダマシン処理では、金属の堆積に先立ち、微細な金属の輪郭(fine-line metal definition)を得るため、及び従来のアルミニウム金属エッチングの除去処理をなくすために、酸化シリコン内に配線溝(チャネル)がエッチングされる。しかし、酸化チャネルのエッチングにより、溶媒の作用によっても除去が困難である、ポリマー形成によるレジストマスクの汚染が生じる。そのようなレジストを除去する処理によれば、エッチング処理中に、レジスト表面に形成される大いにフッ化された皮を分解しアッシングするために、第1に酸素のRIEプラズマが使用される。この皮が除去されたとき、ローハロゲン処理が、残りの有機材料の除去のために使用される。この残りの反応生成物は、脱イオン水(DI)で洗い落とされ、クリーンな面を残す。
本発明の上述の実施例により、添付した請求の範囲記載の発明の範囲から逸脱することなしに改良できるのは明らかである。ある種のガスが引用されているが、レジストを除去するために他のガスも使用できる。

Claims (8)

  1. レジスト層を備えたデバイスをアッシングする方法において、
    (a)酸素と、約0.5%より少ないフッ素含有ガスとを有する第1ガスを導入する工程と、
    (b)導入される第1ガスからマイクロ波プラズマを形成する工程と
    (b1)このマイクロ波プラズマを形成した第1ガスからデバイスの上方で高周波プラズマを形成する工程とを有し、
    工程(b1)が完了した後に、
    (c)酸素を含有した第2ガスを導入する工程と、
    (d)導入される第2ガスからマイクロ波プラズマを形成し、このマイクロ波プラズマをデバイスに向かわせる工程とを
    さらに有し、
    第1ガスのフッ素含有ガス量が約0.1〜0.2%であり、第2ガスのフッ素含有ガス量が1〜10%であり、
    前記各フッ素含有ガスが、CF 4 、NF 3 及びSF 6 からなるグループから選択されたものである、
    レジスト層を備えたデバイスをアッシングする方法。
  2. 工程(d)が完了した後に、
    (e)酸素を含有した第2ガスを導入する工程と、
    (f)反応性イオンエッチング処理を行うため、デバイスの上方で第2ガスから高周波プラズマを形成する工程とを
    さらに有する、請求の範囲第1項記載のレジスト層を備えたデバイスをアッシングする方法。
  3. 工程(b1)が完了した後に、
    (ci)酸素を含有した第2ガスを導入する工程と、
    (d1)反応性イオンエッチング処理を行うため、デバイスの上方で第2ガスから高周波プラズマを形成する工程とを
    さらに有する、請求の範囲第1項記載のレジスト層を備えたデバイスをアッシングする方法。
  4. 工程(b1)の後に、デバイスを脱イオン水で洗浄する工程をさらに有する、請求の範囲第項記載のレジスト層を備えたデバイスをアッシングする方法。
  5. 工程(b1)の後に、約1〜10%のフッ素含有ガスでダウンストリーム型マイクロ波処理を行う工程をさらに有する、請求の範囲第項記載のレジスト層を備えたデバイスをアッシングする方法。
  6. 工程(a)の前に、
    (a1)酸素を含有した第2ガスを導入する工程と、
    (a2)反応性イオンエッチング処理を行うため、デバイスの上方で第2ガスから高周波プラズマを形成してアッシングする工程を
    さらに有する、請求の範囲第1項記載のレジスト層を備えたデバイスをアッシングする方法。
  7. 工程(b1)の後に、
    (b2)酸素を含有した第2ガスを導入する工程と、
    (b3)反応性イオンエッチング処理を行うために、デバイスの上方で第2ガスから高周波プラズマを形成してアッシングする工程を
    さらに有する、請求の範囲第6項記載のレジスト層を備えたデバイスをアッシングする方法。
  8. 工程(a)の前に、約1〜10%のフッ素含有ガスを備えた、主に酸素ガスを使用してダウンストリーム型マイクロ波処理によりアッシングする工程をさらに有する、請求の範囲第6項記載のレジスト層を備えたデバイスをアッシングする方法。
JP53827097A 1996-04-24 1997-04-23 半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング Expired - Lifetime JP4562813B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/637,137 US5908319A (en) 1996-04-24 1996-04-24 Cleaning and stripping of photoresist from surfaces of semiconductor wafers
US08/637,137 1996-04-24
PCT/US1997/006691 WO1997040423A2 (en) 1996-04-24 1997-04-23 Cleaning and stripping of photoresist from surfaces of semiconductor wafers

Publications (3)

Publication Number Publication Date
JP2001501364A JP2001501364A (ja) 2001-01-30
JP2001501364A5 JP2001501364A5 (ja) 2005-01-13
JP4562813B2 true JP4562813B2 (ja) 2010-10-13

Family

ID=24554694

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53827097A Expired - Lifetime JP4562813B2 (ja) 1996-04-24 1997-04-23 半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング

Country Status (3)

Country Link
US (1) US5908319A (ja)
JP (1) JP4562813B2 (ja)
WO (1) WO1997040423A2 (ja)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795831A (en) * 1996-10-16 1998-08-18 Ulvac Technologies, Inc. Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5980770A (en) * 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6218084B1 (en) * 1998-12-15 2001-04-17 United Microelectronics Corp. Method for removing photoresist layer
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6492186B1 (en) 1999-08-05 2002-12-10 Eaton Corporation Method for detecting an endpoint for an oxygen free plasma process
JP2001077086A (ja) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd 半導体装置のドライエッチング方法
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6547458B1 (en) 1999-11-24 2003-04-15 Axcelis Technologies, Inc. Optimized optical system design for endpoint detection
US6225745B1 (en) 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6852636B1 (en) 1999-12-27 2005-02-08 Lam Research Corporation Insitu post etch process to remove remaining photoresist and residual sidewall passivation
DE10024699A1 (de) * 2000-05-18 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6914017B1 (en) * 2000-08-30 2005-07-05 Micron Technology, Inc. Residue free overlay target
DE10050047B4 (de) * 2000-10-10 2006-07-13 Promos Technologies, Inc. Trockenreinigungsverfahren statt der herkömmlichen Nassreinigung nach der Ätzung von Metallen
TW455942B (en) * 2000-10-31 2001-09-21 Promos Technologies Inc Via etch post-clean process
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US6846749B1 (en) 2001-06-25 2005-01-25 Advanced Micro Devices, Inc. N-containing plasma etch process with reduced resist poisoning
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
KR100500932B1 (ko) * 2001-09-28 2005-07-14 주식회사 하이닉스반도체 비아 콘택 식각 후의 감광막 제거 및 건식 세정 방법
US7134941B2 (en) * 2002-07-29 2006-11-14 Nanoclean Technologies, Inc. Methods for residue removal and corrosion prevention in a post-metal etch process
US7297286B2 (en) * 2002-07-29 2007-11-20 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US6764385B2 (en) 2002-07-29 2004-07-20 Nanoclean Technologies, Inc. Methods for resist stripping and cleaning surfaces substantially free of contaminants
US7066789B2 (en) * 2002-07-29 2006-06-27 Manoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
US7821655B2 (en) * 2004-02-09 2010-10-26 Axcelis Technologies, Inc. In-situ absolute measurement process and apparatus for film thickness, film removal rate, and removal endpoint prediction
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7157375B2 (en) * 2004-08-25 2007-01-02 Agere Systems, Inc. Methods of downstream microwave photoresist removal and via clean, particularly following Stop-On TiN etching
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US8236703B2 (en) * 2007-09-12 2012-08-07 Texas Instruments Incorporated Methods for removing contaminants from aluminum-comprising bond pads and integrated circuits therefrom
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3140784A1 (de) * 1981-10-14 1983-04-28 Freudenberg, Carl, 6940 Weinheim "saugfaehiges flaechengebilde und verfahren zu seiner herstellung"
US4493855A (en) * 1982-12-23 1985-01-15 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4562240A (en) * 1984-12-20 1985-12-31 Ashland Oil, Inc. Bicyclic amide acetal/polyol/polyisocyanate polymers
JPH0770524B2 (ja) * 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
US5198634A (en) * 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5174856A (en) * 1991-08-26 1992-12-29 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from previous metal etch
JPH05206069A (ja) * 1992-01-29 1993-08-13 Fujitsu Ltd プラズマエッチング法及びプラズマエッチング装置
JPH05275326A (ja) * 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
JP3391410B2 (ja) * 1993-09-17 2003-03-31 富士通株式会社 レジストマスクの除去方法
US5382316A (en) * 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JP3529849B2 (ja) * 1994-05-23 2004-05-24 富士通株式会社 半導体装置の製造方法
JPH07331460A (ja) * 1994-06-02 1995-12-19 Nippon Telegr & Teleph Corp <Ntt> ドライエッチング方法

Also Published As

Publication number Publication date
WO1997040423A2 (en) 1997-10-30
JP2001501364A (ja) 2001-01-30
WO1997040423A3 (en) 1997-11-27
US5908319A (en) 1999-06-01

Similar Documents

Publication Publication Date Title
JP4562813B2 (ja) 半導体ウェハー表面のフォトレジストのクリーニング及びストリッピング
JP4435797B2 (ja) 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法
US5795831A (en) Cold processes for cleaning and stripping photoresist from surfaces of semiconductor wafers
KR950010044B1 (ko) 반도체 집적회로의 제조방법 및 그에 사용된 제조장치
US6417080B1 (en) Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US8716143B1 (en) Plasma based photoresist removal system for cleaning post ash residue
US6692903B2 (en) Substrate cleaning apparatus and method
US6524936B2 (en) Process for removal of photoresist after post ion implantation
JP4860087B2 (ja) エッチング方法
KR100702290B1 (ko) 포토레지스트와 에칭 잔여물의 에싱방법 및 처리방법
JP5051332B2 (ja) 無酸素プラズマ処理における終了点の決定方法
US20100130017A1 (en) Front end of line plasma mediated ashing processes and apparatus
US20120024314A1 (en) Plasma mediated ashing processes
JPH04229621A (ja) 半導体基板表面の処理方法
JPH07153746A (ja) ドライエッチング室のクリーニング方法
EP1143498A2 (en) Post etch photoresist and residue removal process
US5962345A (en) Method to reduce contact resistance by means of in-situ ICP
JP3728165B2 (ja) イオン注入されたホトレジストの残渣の処理方法及び半導体装置の製造方法
JPH08186099A (ja) レジストのアッシング方法
KR100466969B1 (ko) 반도체플라스마식각챔버의공정부산물제거방법
JP3995215B6 (ja) 半導体ウェハー表面からフォトレジストをクリーニングし、ストリッピングする方法
JPH03155621A (ja) ドライエッチング方法
JPS6191930A (ja) 半導体基板の清浄方法
VOSHCHENKOV Fundamentals of plasma etching for silicon technology (Part 1)
Fujimura et al. Sodium contamination free ashing process using O2+ H2O plasma downstream

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040423

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060620

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060609

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060914

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061030

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070122

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20070122

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070615

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20070731

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071030

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080128

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080128

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080605

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080828

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20081024

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100728

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term