TWI647756B - 清潔基板用之處理氣體產生 - Google Patents

清潔基板用之處理氣體產生 Download PDF

Info

Publication number
TWI647756B
TWI647756B TW102135907A TW102135907A TWI647756B TW I647756 B TWI647756 B TW I647756B TW 102135907 A TW102135907 A TW 102135907A TW 102135907 A TW102135907 A TW 102135907A TW I647756 B TWI647756 B TW I647756B
Authority
TW
Taiwan
Prior art keywords
cleaning
pretreatment
substrate
item
cleaning system
Prior art date
Application number
TW102135907A
Other languages
English (en)
Other versions
TW201428846A (zh
Inventor
意恩J 布朗
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201428846A publication Critical patent/TW201428846A/zh
Application granted granted Critical
Publication of TWI647756B publication Critical patent/TWI647756B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本發明提供一種以清理系統清理基板之方法與系統,該清理系統包含預處理系統及濕式清理系統。選擇預處理系統之一或更多目標,以及利用計量測量結果使二或更多預處理操作變數最佳化以符合預處理目標,該等二或更多預處理操作變數包括UV劑量、基板溫度、氧分壓、氧及臭氧分壓、及/或總壓力。基板包括待清理之層和具有一k值之下方介電層。將包含氧及/或臭氧之預處理氣體遞送至基板的表面上,並且用UV裝置照射,從而產生氧自由基。預處理製程中之基板清理係設定在小於100%,以確保基板的k值變化在基板應用的設定範圍之內。

Description

清潔基板用之處理氣體產生
本申請案大致關於半導體處理,尤其有關基板清理製程,該基板清理製程包含具有處理氣體之預處理製程以及濕式清理製程。
在反應性離子蝕刻(RIE)圖案化製程中產生用於低k值介電層的高度氟化之聚合物,其中k值在2.0至2.6的範圍內。紫外線(UV)預處理(pre-treatment)已被證明能改善使用相容清理溶劑之典型後段製程(BEOL,back-end-of-line)蝕刻後處理的聚合物移除能力。在氧存在之下的UV照射已被用在濕式清理製程之前作為有效的預處理製程。具有低壓範圍之氧分壓已被證明為一有效方法。低壓汞(Hg)燈能執行此製程。低壓Hg燈具有二主導放射線波長:254nm及185nm。185nm放射線具有足夠能量將氧斷開以形成氧原子,其進而與氧反應而形成臭氧。254nm放射線被臭氧吸收而產生氧原子。然而,使用185nm放射線導致處理後之膜的k值不當增加。其挑戰在於185nm放射線具有足夠能量使下方低k值介電層化學活化並破壞下方低k值介電層。
可採用無臭氧Hg燈(即只有254nm),但此預處理效能不如產生臭氧Hg燈(254nm及185nm)。一些先前的清理系統使用準分子燈,例如:將小於190nm的光線導入氧氣中導致產生臭氧之準分子燈、以及將光線導入臭氧氣中而導致產生具有高吸收係數的氧自由基之另一準分子燈。包括氧自由基之氣體沿著基板的表面通過,而導致基板的表面上之有機材料的退化。其他方法使用可藉由準分子雷射所產生之雷射,該準分子雷射提供了UV能量來驅使氧化反應,以使光阻或有機材料分解成如CO、CO2、及H2O之副產物,而不斷被抽氣泵排出。亦可使用其他乾式蝕刻技 術來清理基板,惟此類技術通常後接以濕式清理製程。使用準分子燈、雷射或使用熱臭氧製程產生需要用到昂貴的設備及製程。
在前段製程(FEOL,front-end-of-line)或後段製程(BEOL)中,有清理蝕刻後聚合物、同時控制k值變化或對於下方介電膜之損壞的需求。此外,有下列需求:(a)降低預處理製程後接濕式清理製程之擁有成本、以及(b)降低處理氣體和處理流體之遞送系統的數目及複雜度之簡化硬體系統。
本發明提供一種用清理系統來清理基板之方法與系統,該清理系統包含預處理系統及濕式清理系統。選擇該預處理系統之一或更多目標,以及利用計量測量結果使二或更多預處理操作變數最佳化,以符合預處理目標,該等二或更多預處理操作變數包括UV劑量、基板溫度、氧分壓、氧及臭氧分壓、及/或總壓力。基板包括待清理之層和具有一k值之下方介電層。將包含氧及/或臭氧之預處理氣體遞送至基板的表面上,並且以UV裝置照射,從而產生氧自由基。預處理製程中之基板清理係設定在小於100%,以確保基板的k值變化在基板應用的設定範圍之內。
1‧‧‧結構圖
2‧‧‧溢流槽
4‧‧‧輸入流管
6‧‧‧基板
8‧‧‧輸入流管
9‧‧‧處理腔室
10‧‧‧溢流口
14‧‧‧基板
15‧‧‧設備
16‧‧‧反應腔室
18‧‧‧排氣導管
20‧‧‧供應導管
22‧‧‧基板裝載器
24‧‧‧基板卸載器
26‧‧‧傳送器
28‧‧‧O3產生器
30‧‧‧雷射源
32‧‧‧UV雷射光束
34‧‧‧排氣泵
36‧‧‧UV燈
37‧‧‧排氣方向箭號
38‧‧‧聚焦鏡片
40‧‧‧透射窗口
44‧‧‧移動方向
48‧‧‧石英窗口
200‧‧‧示意圖
204‧‧‧聚合物膜
208‧‧‧光阻
212‧‧‧先進低k值介電膜
216‧‧‧矽層
224‧‧‧基板
300‧‧‧圖表
304、312‧‧‧區域
308‧‧‧清理操作窗
316‧‧‧虛線
320‧‧‧實線
322‧‧‧虛線趨勢箭號
328‧‧‧實線趨勢箭號
400‧‧‧圖表
404、412‧‧‧區域
408‧‧‧清理操作窗
416‧‧‧短虛線
420‧‧‧長短虛線
422‧‧‧虛線趨勢箭號
428‧‧‧實線趨勢箭號
500‧‧‧圖表
504、512‧‧‧區域
508‧‧‧清理操作窗
516‧‧‧實線
520‧‧‧長虛線
522‧‧‧虛線趨勢箭號
528‧‧‧實線趨勢箭號
600、630、660‧‧‧側視圖
604、634、664‧‧‧重複結構
608、638、672‧‧‧寬度
612、642、668‧‧‧高度
700‧‧‧流程圖
704、708、712、716、720、724、728‧‧‧操作
800‧‧‧流程圖
804、808、812‧‧‧操作
900‧‧‧示意圖
902‧‧‧清理系統
904‧‧‧UV源
912‧‧‧處理氣體
916‧‧‧處理腔室
920‧‧‧風扇過濾器單元氣流
924‧‧‧擴散板
928‧‧‧排放單元
932‧‧‧基板
936‧‧‧傳送裝置
940‧‧‧排放單元
944‧‧‧處理液體
1000‧‧‧結構圖
1004‧‧‧清理系統
1008‧‧‧光學計量測量裝置
1010‧‧‧處理腔室
1015‧‧‧處理區域
1020‧‧‧運動控制系統
1025‧‧‧基板
1060‧‧‧光學計量裝置
1064、1068‧‧‧蝕刻感測器裝置
1070‧‧‧OES裝置
1090‧‧‧控制器
1092‧‧‧化學監控器
1094‧‧‧次控制器
1096‧‧‧次控制器
圖1A係顯示批次蝕刻製程中之光阻剝除的先前技術方法之結構圖。
圖1B係一先前技術設備之示意圖,該設備用於在反應腔室中利用透過二或更多UV雷射光束而自基板移除光阻。
圖2係作為低k值樣本之聚合物膜及光阻的範例側視圖,該樣本係用於使用UV光之預處理製程及濕式清理製程。
圖3顯示清理操作窗(cleaning operating window)之範例圖表,清理操作窗係用於基板清理作為UV劑量對基板溫度的函數,該基板清理包含使用UV光之預處理製程、以及使用基礎氧分壓之濕式清理製程。
圖4顯示清理操作窗之範例圖表,清理操作窗係用於基板清理作為UV劑量對基板溫度的函數,該基板清理包含使用UV光之預處理製程、以及使用比基礎氧分壓更高的氧分壓之濕式清理製程。
圖5顯示清理操作窗之範例圖表,清理操作窗係用於基板清理作為UV劑量對基板溫度的函數,該基板清理包含使用UV光之預處理製程、以及使用比基礎氧分壓更低的氧分壓之濕式清理製程。
圖6A顯示在存在氧的情況下、於UV照射基板前之基板的範例側視圖。圖6B顯示於預處理製程後之基板的範例側視圖。圖6C係在預處理製程及濕式清理製程後之基板的範例側視圖。
圖7係清理基板之方法的範例流程圖,該方法包含本發明之實施例中之使用UV光的預處理製程及濕式清理製程。
圖8係控制清理系統之方法的範例流程圖,該方法使用本發明之實施例中所選擇之清理操作變數。
圖9係清理系統之範例示意圖,其中UV源係位於擴散板上方,擴散板係配置成在預處理製程期間阻擋185nm波長的光線並允許其他波長的光線照射基板、以及配置成在後續的濕式清理製程期間保護UV源和相關設備。
圖10係清理系統之範例結構圖,該結構圖顯示使用控制器以使清理系統之操作變數最佳化,以符合清理目標。
圖1A係顯示批次蝕刻製程中之光阻剝除的先前技術方法之結構圖。為了方便敘述本發明,故利用半導體基板來說明此概念的應用。該等方法及製程同樣適用在其他工作件,如晶圓、碟片、記憶體、或類似者。同樣地,可利用硫酸水溶液及過氧化氫混合物來說明本發明中的處理液體。如以下所述,亦可替代使用其他處理液體。處理液體可包括一級、二級、和三級化學品;一或更多處理氣體;以及反應產物。
參考圖1A,結構圖1顯示一表面處理(如批次蝕刻製程中之光阻剝除)之先前技術方法,其中利用一或更多輸入流管4及8來將蝕刻化學品(蝕刻劑)分配到蝕刻處理腔室9上,複數基板6係設置在處理腔室9中。可利用溢流槽2及溢流口10來重新使用、或回收、或丟棄蝕刻劑。可例如藉由在處理腔室9的側面或底部處設置加熱器的方式來提供加熱器(未顯示)。加熱器可在外部或內嵌(inline)。
圖1B係一先前技術設備之示意圖,該設備用於在反應腔室16中利用透過二或更多UV雷射光束32而自基板14移除光阻。此圖式顯示了用於將一層有機材料(如光阻或聚合物)自基板14清除之設備15,該設備包括反應腔室16,其中設有供應例如O2、或O3及O2之處理氣體的供應導管20。O3可在O3產生器28中從O2輸入原位產生、或可用UV雷射光束32產生。反應腔室16具有利用UV燈36透過石英窗口48來產生O3的手段。雷射源30透過聚焦鏡片38及透射窗口40來引導UV雷射光束32。包含待清理之層的基板14係由基板裝載器22載入、由傳送器26在移動方向44上移動通過UV雷射光束32、並由基板卸載器24卸載。清理方法係藉由以下方式來完成:使基板14移動通過UV雷射光束32達二或更多次,直到基板14清理完畢為止。如由排氣方向箭號37所示,藉由排氣泵34透過排氣導管18持續抽出排放處理氣體。
圖2係低k值之層的樣本之範例示意圖200,該樣本係用於使用具有UV光及處理氣體之預處理製程並且後接濕式清理製程來清理基板224。基板224包含矽層216及先進低k值(ALK)介電膜212,其中k值在從2.0到2.2的範圍內。亦可使用其他範圍的k值。ALK介電膜212的上方為光阻208。頂部保形層為範圍在60至70nm的聚合物膜204。基板的清理包括藉由組合之預處理製程及濕式蝕刻製程來移除聚合物膜204與光阻208。
圖3顯示清理操作窗308之範例圖表300,清理操作窗308係用於基板清理作為UV劑量對基板溫度的函數,基板清理包含使用UV光之預處理製程、以及使用基礎氧分壓之濕式清理製程。清理操作窗308係定義為操作變數的範圍,其中達成一或更多清理製程的目標,且其中將氧分壓保持固定在基礎氧分壓。基礎氧分壓係基於歷史或模擬資料而針對基板應用加以選擇。清理操作窗308係介於虛線316與實線320之間的區域,其代表在不對下方介電層造成任何損壞的情況下完成清理基板之UV劑量及基板溫度的點。由實線320所劃分界線直到圖表底部之區域312代表UV劑量及基板溫度的點,其中基板尚有未被清理製程移除之殘留聚合物。由虛線316所劃分界線並在上方之區域304代表UV劑量及基板溫度 的點,其中基板已清理但下方介電層受到損壞,或其中k值變化超過可接受之k值變化的範圍。虛線趨勢箭號322表示隨著介電層的k值變小,則區域304趨於擴張,亦即更多已清理但受損之基板的情況。實線趨勢箭號328表示隨著蝕刻後聚合物厚度變大,則有更多未被清理製程移除之殘留聚合物的情況。
圖4顯示清理操作窗408之範例圖表400,清理操作窗408係用於基板清理作為UV劑量對基板溫度的函數,基板清理包含使用UV光之預處理製程、以及使用比基礎氧分壓更高的氧分壓之濕式清理製程。如上述,清理操作窗408係定義為操作變數的範圍,其中達成一或更多清理製程的目標,且其中將氧分壓保持固定在比圖3之基礎氧分壓更高的數值。基礎氧分壓係基於歷史或模擬資料而針對基板應用加以選擇。清理操作窗408係介於短虛線416與長短虛線420之間的區域,其代表在不對下方介電層造成任何損壞的情況下完成清理基板之UV劑量及基板溫度的點。由長短虛線420所劃分界線直到圖表底部之區域412代表UV劑量及基板溫度的點,其中基板尚有未被清理製程移除之殘留聚合物。由短虛線416所劃分界線並在上方之區域404代表UV劑量及基板溫度的點,其中基板已清理但下方介電層受到損壞,或其中k值變化超過可接受之k值變化的範圍。虛線趨勢箭號422表示隨著介電層的k值變小,則區域404趨於擴張,亦即更多已清理但受損之基板的情況。實線趨勢箭號428表示隨著蝕刻後聚合物厚度變大,則有更多未被清理製程移除之殘留聚合物的情況。應注意到圖4中的區域404(其中下方介電層已受損、或其中k值變化超過可接受之k值變化的範圍)係比圖3中的類似區域304小很多之區域。相較之下,圖4中的區域412(其中下方介電層已受損、或其中基板尚有未被清理製程移除之殘留聚合物)係比圖3中的類似區域312大很多之區域。
圖5顯示清理操作窗508之範例圖表500,清理操作窗508係用於基板清理作為UV劑量對基板溫度的函數,基板清理包含使用UV光之預處理製程、以及使用比圖3中之基礎氧分壓更低的氧分壓之濕式清理製程。如上述,操作窗係定義為操作變數的範圍,其中達成一或更多清理製程的目標,且其中將氧分壓保持固定在比圖3之基礎氧分壓更低的數 值。基礎氧分壓係基於歷史或模擬資料而針對基板應用加以選擇。清理操作窗508係介於實線516與長虛線520之間的區域,其代表在不對下方介電層造成任何損壞的情況下完成清理基板之UV劑量及基板溫度的點。由長虛線520所劃分界線直到圖表底部之區域512代表UV劑量及基板溫度的點,其中基板尚有未被清理製程移除之殘留聚合物。由實線516所劃分界線並在上方之區域504代表UV劑量及基板溫度的點,其中基板已清理但下方介電層受到損壞,或其中k值變化超過可接受之k值變化的範圍。虛線趨勢箭號522表示隨著介電層的k值變小,則區域504趨於擴張,亦即更多已清理但受損之基板的情況。實線趨勢箭號528表示隨著蝕刻後聚合物厚度變大,則有更多未被清理製程移除之殘留聚合物的情況。應注意到圖5中的區域504(其中下方介電層已受損、或其中k值變化超過可接受之k值變化的範圍)係比圖3中的類似區域304大很多之區域。相較之下,圖5中的區域512(其中下方介電層已受損、或其中基板尚有未被清理製程移除之殘留聚合物)係比圖3中的類似區域312小很多之區域。
圖3、4、及5突顯出清理操作窗(308、408、及508)至少與基板溫度、預處理製程中之UV劑量、氧分壓、總壓力、以及所使用之處理氣體相關連。造成下方介電層損壞或造成聚合物清理不完全之操作變數範圍隨著這些操作變數改變而改變。k值的變化係針對基板應用而選擇之關鍵參數。k值係一消光係數(extinction coefficient),並且與介電下方層之入射電場的振盪幅度之衰減(或減振)有關。下方介電層之一層的消光係數k(k值)為基板溫度及所使用之預處理製程氣體的函數。當不使用UV光(即無預處理製程)時,下方介電層之k值可作為測量k值變化的基礎值。下方介電層之k值作為氧氣分壓的函數,並可用光學計量裝置(如反射計、或橢圓偏光計)加以測量。用於自反射計或橢圓偏光計測量結果抽取k值的方法及技術在所屬技術領域中係為人所熟知。基板之層的k值變化係與基板溫度、所使用之處理氣體、氧分壓、總處理氣體壓力、及UV劑量共同相關。因此,這些清理操作變數必須受到控制,以執行清理且又符合k值目標之可接受變化。
圖6A顯示在一測試中於UV照射基板前之基板的範例側視 圖600。側視圖600係在蝕刻後製程之後且在二步驟清理方法(包含預處理UV照射製程及後續濕式清理製程)之前的重複結構。重複結構604之特徵為寬度608及高度612。圖6B顯示於預處理UV照射後之基板的範例側視圖630。如由部份清理之重複結構634的較小寬度638及較高高度642所證明般,開始基板層的清理。圖6C係預處理UV製程及後續濕式清理製程完成後之基板的範例側視圖660。如由移除聚合物及光阻層(圖2中之層204及208)所證明般,實質上已完成蝕刻後基板的清理,並且達到目標臨界尺寸(例如實質上已清理之重複結構664的目標寬度672及目標高度668)。
在所屬技術領域中已知單獨使用濕式清理製程並無法一致地將聚合物完全清理。使用UV光之預處理製程結合濕式清理製程已被證明能使清理化學作用之操作窗增大,以移除具挑戰性之蝕刻後聚合物。隨著後段製程之殘留物包括越多氟化殘留物,則越難單獨以濕式化學作用移除此殘留物。數個技術趨勢增加了UV預處理的潛在價值。首先,由於具有增加之多孔性的膜之更低k值、以及膜沉積與硬化之改變,因此使用預處理UV照射致使膜更容易受清理化學作用影響。尤其對於反應性離子蝕刻(RIE)而言,由於極低k值(ULK)材料和有機殘留物的大小導致蝕刻後聚合物成份改變,而使製程發展需要既昂貴又耗時之蝕刻後清理化學作用的重新形成。可使用本申請案中所述之二步驟方法來避免此耗時之重新形成。本案發明人發現到使用UV光及處理氣體之預處理製程可徹底完成100%的聚合物清除。在本發明中,故意將具有預處理製程之清理的百分率設定成小於100%,以使下方介電層之k值的變化降到最低、或使k值的變化保持在基板應用的可接受範圍內。預處理製程的目的不在完全移除聚合物層,而在使蝕刻後聚合物化學改質,以使其更容易用濕式清理製程來移除,同時排除對下方介電層的損壞。預處理製程中之二或更多操作變數的最佳化允許由後續濕式清理製程更一致地完成基板的清理。
圖7係利用本發明之實施例中之使用UV光的預處理製程和濕式清理製程來清理基板之方法的範例流程圖700。在操作704中,針對清理系統之預處理系統選擇一或更多預處理目標。預處理目標的範例包括預處理清理百分率、預處理第一製程時間、擁有總成本、k值的變化、及類似 者。預處理清理百分率可在50至99%的範圍內、第一製程時間可為120秒或更短、以及k值的變化可為0.2或更小。操作708中,選擇二或更多預處理操作變數並使這些操作變數最佳化,以達到二或更多預處理目標。所選擇之二或更多預處理操作變數可包括UV劑量、基板溫度、預處理清理百分率、氧分壓、氧及臭氧分壓、第一製程時間、或總處理氣體壓力其中之二或多者。UV劑量可在從0.1至20.0J/cm2的範圍內、氧分壓可從15至159托耳、總處理氣體壓力可為80至760托耳、基板溫度可從25至150℃、以及下方介電層之k值可從2.0至2.6。
在操作712中,提供具有待清理之層及下方介電層之基板以供處理,該下方介電層具有一k值。在操作716中,利用氣體遞送系統將預處理製程氣體遞送至清理系統之處理腔室中的基板表面上。處理氣體可包括氧、或氧及臭氧(以臭氧對氧之一特定比例)。或者,處理氣體可為過濾之空氣或清潔乾燥空氣(CDA,clean dry air)。在操作720中,用UV裝置照射處理氣體以產生用於基板預處理之自由基,其中在預處理第一製程時間期間完成照射,並且此UV裝置具有一或更多波長及一UV劑量。在操作724中,利用預處理系統中所選擇之二或更多計量測量來控制所選擇之二或更多預處理變數,以符合一或更多預處理目標。在操作728中,利用濕式清理系統在基板上執行濕式清理製程。濕式清理系統可使用各種化學品,包括硫酸及過氧化氫(SPM)、SPM與臭氧(SPOM)、磷酸及蒸汽、氫氧化銨及過氧化氫、稀釋氫氟酸(DHF)、去離子水及臭氧、二甲基亞碸(dimethyl sulfoxide)及單乙醇胺(DMSO/MEA)、或其他濕式清理化學品。
圖8係利用本發明之實施例中所選擇之清理操作變數來控制清理系統之方法的範例流程圖800。在操作804中,獲得量測以供計算一或更多預處理目標的數值。這些量測可包括在預處理製程期間獲得基板的俯視圖影像,以便檢查清理進度、檢查蝕刻後聚合物移除的百分率、檢查所經過之第一製程時間、檢查處理氣體的成份、UV劑量、或基板的旋轉速度。在操作808中,將所計算之一或更多預處理目標的數值與所設定之一或更多預處理目標相比。一或更多預處理目標的數值之計算可包括計算k值的變化、預處理製程中之清理的百分率、或擁有成本(基於基板之預計 單元吞吐量)。在操作812中,若未符合一或更多目標,則調整二或更多所選擇之操作變數直到符合一或更多預處理目標為止。例如,可調整UV劑量以提高或降低預處理製程中之清理的百分率。可調整基板溫度、氧及/或臭氧的流速、或氧及/或臭氧的分壓,以增加處理氣體中的氧自由基或原子氧、或提高臭氧對氧的比率。可縮短第一製程時間以使k值的變化降到最低,或可延長第一製程時間以確保較高百分率之清理。
圖9係清理系統902之範例示意圖900,其中UV源904係位於擴散板924上方,擴散板924係配置成在預處理製程期間阻擋185nm波長的光照射基板932、以及在後續濕式清理製程期間保護UV源904和相關設備。處理氣體912可包含氧及/或氮。或者,處理氣體可包含氧及/或氮及/或臭氧。在另一實施例中,於預處理製程期間,可將風扇過濾器單元(FFU,fan filter unit)空氣或CDA 920導入處理腔室916作為處理氣體。於濕式清理製程期間,藉由傳送裝置936將處理液體944遞送至處理腔室916內的基板932上,其中處理液體944及處理氣體912或920係經由排出單元940、928而移除。因為不需將外部的氧或含臭氧之氧氣饋送至UV腔室內,所以簡化了基板清理系統之系統硬體。一般空氣之處理已證明能產生足夠的臭氧及氧原子以使預處理製程起作用。因為相關之硬體設計安全要求,所以饋送氧或臭氧之遞送氣體管線會使工具成本增加。本案發明人發現到藉由使用UV及處理氣體的預處理製程並後接濕式清理製程之組合可實現顯著較短的UV曝露時間。此外,本案發明人亦能縮短濕式清理製程時間。再者,原位處理氣體之產生亦使基板清理系統之設計中所採用的UV源數目降低。例如,圖9中所有的UV硬體係直接用於清理基板,最後用以產生原子氧。
參考圖9,本發明之一實施例包括在將200nm以下波長饋送至基板處理腔室內、而僅以254nm放射線照射的情況下,藉由真空UV(VUV)源(小於200nm)、電暈放電(corona discharge)、或UV源來產生臭氧之間接來源。放射線被臭氧吸收而開始在基板表面處形成氧原子,這能實現基板的無損清理。或者,在另一實施例中,以臭氧放射UV照射基板,其中以下列幾何結構將185nm吸收過濾器設置在基板之間:防止185 nm放射線直接及間接照射,但允許一擴散路徑使臭氧到達基板表面。藉由使充滿氧的大氣流過該小於200nm波長吸收氣體擴散板可提高處理氣體的質量傳送。
圖10係清理系統1004之範例結構圖1000,結構圖1000顯示使用控制器1090以使清理系統1004之操作變數最佳化,以符合一或更多預處理目標。清理系統1004可使用二或更多光學計量測量裝置1008。可將光學放射光譜學(OES)裝置1070耦合至處理腔室1010之一位置處,以測量來自處理區域1015的光學放射。此外,另一組光學計量裝置1060可設置在處理腔室1010的頂上。雖然顯示了四光學計量裝置,惟仍可設置許多其他選擇性及不同配置的光學計量裝置,以利用複數光學計量裝置來完成設計目標。四光學計量裝置1060可為分光反射計裝置及/或干涉計裝置。來自二或更多光學計量測量裝置1008(例如OES裝置1070及光學計量裝置1060組)之量測結果係傳送至計量處理器(未顯示),在計量處理器中抽取出一或更多臨界尺寸值。可用OES裝置1070及/或光學計量裝置1060組以及一或更多蝕刻感測器裝置1064和1068來執行量測。
如以上所述,製程感測器裝置例如可為殘留物感測器裝置1064,該感測器裝置1064測量殘留在基板1025上之殘留物的百分率、或測量實質上與殘留物移除的百分率相關之清理操作變數。另一製程感測器裝置可包括測量氧的分壓、或氧及臭氧分壓、或處理氣體的總壓力之裝置。可使用多變數分析來完成至少一或更多製程感測器裝置的選擇,此多變數分析使用多組製程資料、計量資料(繞射信號)、及製程執行資料來確認這些交互關係。來自二或更多光學計量測量裝置1008(例如OES裝置1070及光學計量裝置1060組)之量測結果與來自感測器裝置1064及/或1068之量測結果係傳送至計量處理器(未顯示),在計量處理器中抽取出操作變數值。
仍參考圖10,清理系統1004包括控制器1090,控制器1090係耦合至二或更多光學計量測量裝置1008中的次控制器1096,光學計量測量裝置1008包含複數光學計量裝置1060、光學放射光譜學(OES)裝置1070、以及一或更多蝕刻感測器裝置1064及1068。可將一或更多化學監控 器1092耦合至處理腔室,以確保處理氣體在所設定之範圍內。另一次控制器1094可包括在運動控制系統1020中,運動控制系統1020係耦合至控制器1090,並可針對單基板工具調整運動控制系統的第一及第二旋轉速度。為使清理操作變數最佳化、以及為了達到一或更多預處理目標,可將控制器1090連接至內部網路、或經由網際網路連接至其他控制器。
雖然以上只詳細敘述本發明的一些實施例,惟本領域中具有通常技術者將立即瞭解在實質上未離開本發明之創新教示及優點的情況下,能在實施例中作許多修改。例如,雖然僅提供清理基板之一示範性處理流程,但仍可預想到其他處理流程。同樣如以上所述,本發明之清理方法及系統可用於FEOL或BEOL製造群集(fabrication cluster)中。因此,欲將所有此類修改包括在本發明的範圍之內。

Claims (21)

  1. 一種在清理系統中清理基板的方法,該清理系統包含預處理系統及濕式清理系統,該預處理系統包括處理腔室及氣體遞送次系統,該方法包含預處理製程,該預處理製程包含:選擇該預處理系統之二或更多預處理目標;選擇欲最佳化之二或更多預處理操作變數,以達到該二或更多預處理目標;提供具有待清理之層及下方介電層之基板,該下方介電層具有一k值;利用該氣體遞送次系統將預處理氣體遞送至該處理腔室中之該基板的表面上;以及用紫外線(UV)裝置照射該預處理氣體、並產生用以預處理該基板之自由基,在預處理第一製程時間期間完成該照射,該UV裝置具有一或更多主導放射線波長和一UV劑量;在該照射期間內在該預處理系統中獲得一或更多計量測量;以及在該照射期間內利用在該預處理系統中所獲得之該一或更多計量測量來控制所選擇之該二或更多預處理操作變數,以達成所選擇之該二或更多預處理目標;其中該預處理氣體包含氧、或氧及臭氧,且其中該二或更多預處理操作變數包含該UV劑量、基板溫度、預處理第一製程時間、氧分壓、氧及臭氧分壓、及/或總處理氣體壓力其中之二或多者;且其中該二或更多預處理目標包括預處理清理百分率,該預處理清理百分率係選自於小於100%。
  2. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中該二或更多預處理目標包括該預處理系統及該濕式清理系統的目標擁有總成本、或該下方介電層的目標k值變化。
  3. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中該預處理清理百分率在從55至99%的範圍內。
  4. 如申請專利範圍第1或3項之在清理系統中清理基板的方法,其中該預處理第一製程時間少於120秒。
  5. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中該二或更多預處理目標包括該預處理系統及該濕式清理系統的目標擁有總成本、該預處理第一製程時間、以及該下方介電層的k值變化。
  6. 如申請專利範圍第5項之在清理系統中清理基板的方法,其中:所組合之該預處理系統及該濕式清理系統的擁有總成本低於僅使用一濕式清理系統來清理該基板的成本;該預處理第一製程時間少於120秒;且該下方介電層的k值變化為0.2或更小。
  7. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中遞送該預處理氣體之步驟利用由空氣、或具有臭氧之間接來源的氧所產生之臭氧及氧原子,其中臭氧係藉由真空UV源或電暈放電所產生。
  8. 如申請專利範圍第7項之在清理系統中清理基板的方法,其中在UV源之240nm以下放射線波長饋送至該處理腔室內、而該基板僅受到254nm主導放射線波長的照射之情況下,藉由該UV源產生臭氧。
  9. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中該UV裝置係一或更多低壓Hg燈。
  10. 如申請專利範圍第9項之在清理系統中清理基板的方法,其中該UV裝置具有二主導放射線波長,包括185nm的第一主導放射線波長、及254nm的第二主導放射線波長。
  11. 如申請專利範圍第10項之在清理系統中清理基板的方法,其中該UV裝置利用擴散板來吸收該185nm放射線,同時允許該預處理氣體及254nm的該第二主導放射線波長通過。
  12. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中該下方介電層的k值在2.0至2.6的範圍內、該基板溫度在從25至150℃的範圍內、該氧分壓在15至159托耳的範圍內、該總處理氣體壓力在從80至760托耳的範圍內、且該UV劑量在從0.1至20.0J/cm2的範圍內。
  13. 如申請專利範圍第1項之在清理系統中清理基板的方法,更包含在該預處理製程完成後,利用該濕式清理系統執行濕式清理製程。
  14. 如申請專利範圍第13項之在清理系統中清理基板的方法,其中該濕式清理製程係執行在單晶圓系統上。
  15. 如申請專利範圍第13項之在清理系統中清理基板的方法,其中該預處理製程係利用第一單晶圓系統來執行,且該濕式清理製程係利用第二單晶圓系統來執行;或其中該預處理製程與該濕式清理製程係利用同一單晶圓系統來執行。
  16. 如申請專利範圍第13項之在清理系統中清理基板的方法,其中該濕式清理製程使用具有處理液體之浸入式清理製程,該處理液體使用水溶液、半水溶液、或全溶劑化學品。
  17. 如申請專利範圍第16項之在清理系統中清理基板的方法,其中該處理液體包含氫氧化銨(NH4OH)及過氧化氫(H2O2)、稀釋氟化氫(DHF)、去離子水(DIW)及臭氧(O3)、或二甲基亞碸(DMSO)或單乙基胺(MEA)其中之一或多者。
  18. 如申請專利範圍第17項之在清理系統中清理基板的方法,更包含回收該處理液體。
  19. 如申請專利範圍第8項之在清理系統中清理基板的方法,其中該預處理氣體被遞送至該處理腔室中、並且在該處理腔室中混合;且其中該UV源位於氣體擴散板上方,該氣體擴散板係配置成在預處理製程期間阻擋185nm主導放射線波長之光線照射該基板,以允許該預處理氣體及該254nm主導放射線波長通過、以及在後續的該濕式清理製程期間保護該UV源和相關設備。
  20. 如申請專利範圍第13項之在清理系統中清理基板的方法,其中該處理腔室係配置成在該預處理製程期間以及在後續的該濕式清理製程期間作為反應腔室。
  21. 如申請專利範圍第1項之在清理系統中清理基板的方法,其中該清理系統為前段製程製造群集或後段製程製造群集的一部分。
TW102135907A 2012-10-05 2013-10-04 清潔基板用之處理氣體產生 TWI647756B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261710657P 2012-10-05 2012-10-05
US61/710,657 2012-10-05
US13/783,382 US9966280B2 (en) 2012-10-05 2013-03-03 Process gas generation for cleaning of substrates
US13/783,382 2013-03-03

Publications (2)

Publication Number Publication Date
TW201428846A TW201428846A (zh) 2014-07-16
TWI647756B true TWI647756B (zh) 2019-01-11

Family

ID=50431767

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102135907A TWI647756B (zh) 2012-10-05 2013-10-04 清潔基板用之處理氣體產生

Country Status (6)

Country Link
US (1) US9966280B2 (zh)
JP (1) JP6093446B2 (zh)
KR (1) KR101774122B1 (zh)
CN (2) CN110071035A (zh)
TW (1) TWI647756B (zh)
WO (1) WO2014055218A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249509B2 (en) 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
JP6832108B2 (ja) * 2016-09-28 2021-02-24 株式会社Screenホールディングス 基板処理方法
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
CN109092801B (zh) * 2017-06-20 2022-03-18 蓝思科技(长沙)有限公司 一种蓝宝石晶片的清洗方法及其采用的设备
US11027319B2 (en) * 2018-03-31 2021-06-08 Sensor Electronic Technology, Inc. Illumination using multiple light sources
JP2022041077A (ja) * 2020-08-31 2022-03-11 株式会社Screenホールディングス 基板処理方法および基板処理装置

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017142A1 (en) * 1992-02-07 2001-08-30 Masaaki Suzuki Method of washing substrate with UV radiation and ultrasonic cleaning
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US20050279380A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. Method for surface cleaning
CN1783479A (zh) * 2004-11-04 2006-06-07 国际商业机器公司 互连结构及其形成方法
TW200832495A (en) * 2007-01-19 2008-08-01 Kismart Corp Light module
US20080268214A1 (en) * 2007-04-30 2008-10-30 Richard Allen Hayes Decorative safety glass
US20100282271A1 (en) * 2005-08-02 2010-11-11 New Way Machine Components, Inc. Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays
US20110306213A1 (en) * 2010-06-09 2011-12-15 Lam Research Corporation Ozone plenum as uv shutter or tunable uv filter for cleaning semiconductor substrates

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109674A (ja) 1991-10-18 1993-04-30 Ushio Inc レジスト膜の灰化方法と灰化装置
JP2727481B2 (ja) * 1992-02-07 1998-03-11 キヤノン株式会社 液晶素子用ガラス基板の洗浄方法
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US5803975A (en) 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
JP3167625B2 (ja) * 1996-07-29 2001-05-21 島田理化工業株式会社 基板のウェット洗浄方法
JPH1048586A (ja) * 1996-08-02 1998-02-20 Sharp Corp 表示素子用基板およびその製造方法並びにその製造装置
JPH11323576A (ja) 1998-05-08 1999-11-26 Sumitomo Precision Prod Co Ltd ウエットエッチング方法
JP4088810B2 (ja) 1998-09-01 2008-05-21 リアライズ・アドバンストテクノロジ株式会社 基板洗浄装置及び基板洗浄方法
US6143477A (en) * 1998-09-08 2000-11-07 Amtech Systems, Inc. Dual wavelength UV lamp reactor and method for cleaning/ashing semiconductor wafers
US6503464B1 (en) 1999-08-12 2003-01-07 Sipec Corporation Ultraviolet processing apparatus and ultraviolet processing method
US6503693B1 (en) 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
TWI291721B (en) 2002-06-23 2007-12-21 Asml Us Inc Method and system for atomic layer removal and atomic layer exchange
JP2005129733A (ja) 2003-10-23 2005-05-19 Sumitomo Precision Prod Co Ltd 表面改質方法及び表面改質装置
US7837821B2 (en) 2004-10-13 2010-11-23 Rheonix, Inc. Laminated microfluidic structures and method for making
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7527695B2 (en) * 2006-06-21 2009-05-05 Asahi Glass Company, Limited Apparatus and method for cleaning substrate
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
JP5224167B2 (ja) 2007-10-23 2013-07-03 株式会社湯山製作所 薬剤払出装置、並びに、薬剤払出システム
JP4640421B2 (ja) * 2008-02-29 2011-03-02 凸版印刷株式会社 紫外線照射装置
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8772170B2 (en) 2010-09-01 2014-07-08 Arizona Board Of Regents On Behalf Of The University Of Arizona Enhanced stripping of implanted resists
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
JP5481366B2 (ja) 2010-12-22 2014-04-23 東京エレクトロン株式会社 液処理方法および液処理装置
US20130330920A1 (en) * 2012-06-06 2013-12-12 Applied Materials, Inc. Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017142A1 (en) * 1992-02-07 2001-08-30 Masaaki Suzuki Method of washing substrate with UV radiation and ultrasonic cleaning
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US20050279380A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. Method for surface cleaning
CN1783479A (zh) * 2004-11-04 2006-06-07 国际商业机器公司 互连结构及其形成方法
US20100282271A1 (en) * 2005-08-02 2010-11-11 New Way Machine Components, Inc. Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays
TW200832495A (en) * 2007-01-19 2008-08-01 Kismart Corp Light module
US20080268214A1 (en) * 2007-04-30 2008-10-30 Richard Allen Hayes Decorative safety glass
US20110306213A1 (en) * 2010-06-09 2011-12-15 Lam Research Corporation Ozone plenum as uv shutter or tunable uv filter for cleaning semiconductor substrates

Also Published As

Publication number Publication date
WO2014055218A1 (en) 2014-04-10
JP6093446B2 (ja) 2017-03-08
TW201428846A (zh) 2014-07-16
KR20150079680A (ko) 2015-07-08
JP2015537372A (ja) 2015-12-24
KR101774122B1 (ko) 2017-09-12
US20140096792A1 (en) 2014-04-10
CN104903014A (zh) 2015-09-09
CN110071035A (zh) 2019-07-30
US9966280B2 (en) 2018-05-08

Similar Documents

Publication Publication Date Title
TWI647756B (zh) 清潔基板用之處理氣體產生
TWI667708B (zh) 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
US6350391B1 (en) Laser stripping improvement by modified gas composition
US9735026B2 (en) Controlling cleaning of a layer on a substrate using nozzles
KR101010419B1 (ko) 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법
US10249509B2 (en) Substrate cleaning method and system using atmospheric pressure atomic oxygen
US20080299780A1 (en) Method and apparatus for laser oxidation and reduction
EP2166564A2 (en) Method for removing a hardened photoresist from a semiconductor substrate
US20220308454A1 (en) Bake strategies to enhance lithographic performance of metal-containing resist
JP6303008B2 (ja) 異なる波長の二つ以上の紫外光源を用いて基板を処理するシステム
US20150136183A1 (en) System of controlling treatment liquid dispense for spinning substrates
JP2013506313A (ja) 基板上のシリコン含有反射防止コーティング層の再加工方法
KR20170105439A (ko) 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법
JP2015537372A5 (zh)
WO2008097462A1 (en) Plenum reactor system
KR101695111B1 (ko) 단일 기판 시스템 상에 포토레지스트를 스트립핑하는 방법
US20170278695A1 (en) Polymer removal using chromophores and light exposure
Kesters et al. Removal of post-etch 193 nm photoresist in porous low-k dielectric patterning using UV irradiation and ozonated water
JP6710582B2 (ja) 基板液処理装置、基板液処理方法及び記憶媒体
WO2017073396A1 (ja) 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
Kesters et al. PR and BARC Wet Strip in BEOL Patterning Using a UV-Enabled Aqueous Process
JP2024514454A (ja) 金属含有フォトレジストからの金属汚染の制御