CN110071035A - 用于在清洗系统中清洗基板的方法 - Google Patents

用于在清洗系统中清洗基板的方法 Download PDF

Info

Publication number
CN110071035A
CN110071035A CN201910122153.6A CN201910122153A CN110071035A CN 110071035 A CN110071035 A CN 110071035A CN 201910122153 A CN201910122153 A CN 201910122153A CN 110071035 A CN110071035 A CN 110071035A
Authority
CN
China
Prior art keywords
pretreatment
cleaning
substrate
method described
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910122153.6A
Other languages
English (en)
Inventor
伊安·J·布朗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN110071035A publication Critical patent/CN110071035A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

提供了一种用于在清洗系统中清洗基板的方法。为预处理系统选择一个或更多个目标,并且使用计量测量对包括UV计量、基板温度、氧分压强、氧和臭氧分压强和/或总压强的两个或更多个预处理操作变量进行优化以满足预处理目标。基板(6,14,224,932)包括待清洗的层(204,208)以及具有k值的下面的电介质层(212)。包括氧和/或臭氧的预处理气体被传送到基板(6,14,224,932)的表面上并且采用UV装置照射,产生氧自由基。设定预处理工艺中的基板(6,14,224,932)的清洗小于100%,以便确保基板(6,14,224,932)的k值的变化在基板应用的设定范围内。

Description

用于在清洗系统中清洗基板的方法
本申请为于2015年6月4日提交、申请号为201380063928.8、发明名称为“用于清洗基板的工艺气体的产生”的中国专利申请的分案申请。所述母案申请的国际申请日为2013年9月13日,优先权日为2012年10月5日,国际申请号为PCT/US2013/059601。
技术领域
本申请总体上涉及半导体处理,并且具体地涉及包括采用工艺气体的预处理工艺以及湿清洗工艺的基板清洗工艺。
背景技术
在k值处于2.0-2.6范围内的低k电介质的反应离子刻蚀(RIE)图案化工艺中产生高度氟化聚合物。已经证明,紫外(UV)预处理提高了使用兼容清洗溶剂的典型后段制程(BEOL)刻蚀后工艺的聚合物去除能力。在氧存在的情况下UV照射已经被用于湿清洗工艺之前的一种有效的预处理工艺。具有低压范围的氧分压强已经表现为一种有效的方法。低压汞(Hg)灯能够执行这个工艺。低压汞灯具有两个主发射波长:254nm和185nm。185nm的辐射具有足够的能量来分解氧以形成氧原子,氧原子进而与氧反应以形成臭氧。254nm的辐射被臭氧吸收以产生氧原子。然而,使用185nm的辐射导致在工艺之后膜的k值的不期望的增加。挑战在于:185nm的辐射具有足够的能量来化学地激活并且破坏下面的低k电介质。
无臭氧汞灯是可用的,(即,仅254nm),但预处理性能不像臭氧产生汞灯(254nm和185nm)一样好。先前的一些清洗系统使用准分子灯,例如,一个准分子灯将小于190nm的光引导到氧气中,引起臭氧的产生,而另一个准分子灯将光引导到臭氧气体中,引起具有高吸收系数的氧自由基的产生。包括氧自由基的气体沿着基板表面经过以引起其上的有机材料的变性。其他方法使用可以通过准分子激光器产生的激光,激光提供UV能量用于驱动氧化反应来将抗蚀剂或有机材料分解成通过排出泵持续排出的诸如CO、CO2和H2O的副产物。其他干刻蚀技术同样可以用于清洗基板,但这样的技术通常跟随有湿清洗工艺。准分子灯、激光的使用或者热臭氧产生工艺的使用需要使用昂贵的设备和工艺。
需要在前段制程(FEOL)或后段制程(BEOL)工艺中控制下面的电介质膜的损坏或k值变化的同时、清洗刻蚀后聚合物。另外,需要(a)减少跟随有湿清洗工艺的预处理工艺的拥有成本以及(b)减少工艺气体和处理液的传送系统的数量和复杂性的简化硬件系统。
发明内容
提供了一种采用包括预处理系统和湿清洗系统的清洗系统清洗基板的方法和系统。为预处理系统选择一个或更多个目标,并且使用计量测量对包括UV剂量、基板温度、氧分压强、氧和臭氧分压强和/或总压强的两个或更多个预处理操作变量进行优化以满足预处理目标。基板包括待清洗的层以及具有k值的下面的电介质层。包括氧和/或臭氧的预处理气体被传送到基板的表面上并且采用UV装置照射,产生氧自由基。在预处理工艺中将基板清洗设定在小于100%,以便确保基板的k值的变化在基板应用的设定范围内。
附图说明
图1A是示出了批量刻蚀工艺中的抗蚀剂剥离的现有技术方法的架构图。
图1B是用于在反应室中使用两路或更多路UV激光束从基板去除光刻胶(抗蚀剂)的现有技术设备的图。
图2是在使用UV光的预处理工艺和湿清洗工艺中使用的低k样品的聚合物膜和抗蚀剂的示例侧视图。
图3描述了根据UV剂量与基板温度的关系进行基板清洗的清洗操作窗的示例图,基板清洗包括使用UV光的预处理工艺和使用基础氧分压强的湿清洗工艺。
图4描述了根据UV剂量与基板温度的关系进行基板清洗的清洗操作窗的示例图,基板清洗包括使用UV光的预处理工艺和使用比基础氧分压强更高的氧分压强的湿清洗工艺。
图5描述了根据UV剂量与基板温度的关系进行基板清洗的清洗操作窗的示例图,基板清洗包括使用UV光的预处理工艺和使用比基础氧分压强更低的氧分压强的湿清洗工艺。
图6A描述了在有氧情况下对基板进行UV照射之前基板的示例侧视图。图6B描述了在预处理工艺之后基板的示例侧视图。图6C是在预处理工艺和湿清洗工艺之后基板的示例侧视图。
图7是本发明的实施方式中包括使用UV光的预处理工艺和湿清洗工艺的清洗基板的方法的示例流程图。
图8是本发明的实施方式中使用选择的清洗操作变量控制清洗系统的方法的示例流程图。
图9是清洗系统的示例图,其中UV源位于扩散板之上,扩散板被配置成在预处理工艺期间阻挡185nm波长的光照射基板并且允许其他波长的光照射基板,以及被配置成在随后的湿清洗工艺期间保护UV源和相关的设备。
图10是描绘了使用控制器优化清洗系统的操作变量以满足清洗目标的清洗系统的示例性架构图。
具体实施方式
图1A是示出了批量刻蚀工艺中的抗蚀剂剥离的现有技术方法的架构图。为了方便本发明的描述,利用半导体基板来说明构思的应用。方法和工艺同样应用于诸如晶圆、磁盘、存储器等的其他工件。类似地,可以利用硫酸水溶液与过氧化氢的混合物来说明本发明中的处理液。如下提到的,可以替选地使用其他处理液。处理液可以包括第一、第二和第三化学品,一种或更多种工艺气体,以及反应产物。
参考图1A,架构图1示出了批量刻蚀工艺中的诸如抗蚀剂剥离的表面处理的现有技术方法,其中使用一个或更多个输入流4和8,将刻蚀化学品(刻蚀剂)分配到安置有多个基板6的刻蚀处理室9上。可以使用溢流箱2和溢流嘴10重复使用或循环或者处理刻蚀剂。例如,可以通过在处理室4的侧壁上或底部具有加热器来提供加热器(未示出)。加热器可以是外置或内置(inline)的。
图1B是用于在反应室16中使用两路或更多路UV激光束32从基板14去除光刻胶(抗蚀剂)的现有技术设备的图。示出了从基板14清洗诸如抗蚀剂或聚合物的有机材料层的设备15,包括反应室16,其中提供有诸如Q2或者O3和O2的工艺气体的供应导管20。O3可以从O3发生器28中现场输入(input in-situ)的O2产生或者采用UV激光束32产生。反应室16具有通过石英窗48使用UV灯36产生O3的装置。激光源30通过聚焦透镜38和透明窗40引导UV激光束32。通过基板装载器22装载包含待清洗的层的基板14,通过传送器26将基板14沿移动方向44移动经过UV激光束32,并且通过基板卸载器24卸载该基板14。清洗的方法通过移动基板14经过UV激光束32两次或更多次直到基板14被清洗来完成。如排出箭头37所指示,排出泵34通过排出导管18持续地泵送排出工艺气体。
图2是使用跟随有湿清洗工艺的采用UV光和工艺气体的预处理工艺、在清洗基板224中使用的低k样品的层的示例图200。基板224包括硅层216,k值处于2.0到2.2范围中的先进低k(ALK)电介质膜212。还可以使用k值的其他范围。在ALK电介质膜212之上是光刻胶208。顶部共形层(top conformal layer)是60-70nm范围的聚合物膜204。基板的清洗包括通过组合的预处理工艺和湿刻蚀工艺来去除聚合物膜204和光刻胶208。
图3描述了根据UV剂量与基板温度的关系进行基板清洗的清洗操作窗308的示例图,基板清洗包括使用UV光的预处理工艺和使用基础氧分压强的湿清洗工艺。清洗操作窗308被定义为实现一个或更多个清洗工艺的目标并且氧分压强在基础氧分压强处保持恒定的操作变量的范围。基于历史或仿真数据针对基板应用选择基础氧分压强。清洗操作窗308是点线316和实线320之间的区域,表示在未对下面的电介质造成任何损害的情况下基板被清洗的基板温度和UV剂量的点。以实线320为界直到图的底部的区域312表示基板具有通过清洗工艺未去除的残余聚合物的基板温度和UV剂量的点。以点线316为界向上的区域304表示基板被清洗但下面的电介质受损或者k值的变化超出可接受的k值变化的范围的基板温度和UV剂量的点。点趋势箭头322指示随着电介质的k值下降,区域304趋向扩张,即更多的清洗但基板受损的实例。实趋势箭头328指示在更大的刻蚀后聚合物厚度的情况下,存在更多的残余聚合物未被清洗工艺去除的实例。
图4描述了根据UV剂量与基板温度的关系进行基板清洗的清洗操作窗408的示例图,基板清洗包括使用UV光的预处理工艺和使用比基础氧分压强更高的氧分压强的湿清洗工艺。如上所述,清洗操作窗408被定义为实现一个或更多个清洗工艺的目标并且氧分压强在比图3的基础氧分压强更高的值处保持恒定的操作变量的范围。基于历史或仿真数据针对基板应用选择基础氧分压强。清洗操作窗408是短虚线416和长短虚线420之间的区域,表示在未对下面的电介质造成任何损害的情况下基板被清洗的基板温度和UV剂量的点。以长短虚线420为界直到图的底部的区域412表示基板具有通过清洗工艺未去除的残余聚合物的基板温度和UV剂量的点。以短虚线416为界向上的区域404表示基板被清洗但下面的电介质受损或者k值的变化超出可接受的k值变化的范围的基板温度和UV剂量的点。点趋势箭头422指示随着电介质的k值下降,区域404趋向扩张,即更多的清洗但基板受损的实例。实趋势箭头428指示在更大的刻蚀后聚合物厚度的情况下,存在更多的残余聚合物未被清洗工艺去除的实例。应当注意,图4中的下面的电介质受损或者k值的变化超出可接受的k值变化的范围的区域404比图3中类似的区域304小很多。相反,图4中的下面的电介质受损或者在k值超出可接受的k值变化的范围时基板具有通过清洗工艺未去除的残余聚合物的区域412比图3中类似的区域312大很多。
图5描述了根据UV剂量与基板温度的关系进行基板清洗的清洗操作窗508的示例图,基板清洗包括使用UV光的预处理工艺和使用比图3中的基础氧分压强更低的氧分压强的湿清洗工艺。如上所述,操作窗被定义为实现一个或更多个清洗工艺的目标并且氧分压强在比图3的基础氧分压强更高的值处保持恒定的操作变量的范围。基于历史或仿真数据针对基板应用选择基础氧分压强。清洗操作窗508是实线516和长虚线520之间的区域,表示在未对下面的电介质造成任何损害的情况下基板被清洗的基板温度和UV剂量的点。以长虚线520为界直到图的底部的区域512表示基板具有通过清洗工艺未去除的残余聚合物的基板温度和UV剂量的点。以实线516为界向上的区域504表示基板被清洗但下面的电介质受损或者k值的变化超出可接受的k值变化的范围的基板温度和UV剂量的点。点趋势箭头522指示随着电介质的k值下降,区域504趋向扩张,即更多的清洗但基板受损的实例。实趋势箭头528指示在更大的刻蚀后聚合物厚度的情况下,存在更多的残余聚合物未被清洗工艺去除的实例。应当注意,图4中的下面的电介质受损或者k值的变化超出可接受的k值变化的范围的区域504比图3中类似的区域304大很多。相反,图4中的下面的电介质受损或者在k值超出可接受的k值变化的范围时基板具有通过清洗工艺未去除的残余聚合物的区域512比图3中类似的区域312小很多。
图3,图4和图5强调:清洗操作窗(308,408和508)至少与基板温度、预处理工艺中的UV剂量、氧分压强、总压强以及使用的工艺气体相关。引起下面的电介质受损或者引起不完全的聚合物清洗的操作变量的范围随着这些操作变量的变化而变化。k值的变化是针对基板应用所选择的关键参数。k值是消光系数并且与衰减、或者电介质下层的入射电场的振幅的阻尼有关。下面的电介质层的层的消光系数k(k值)是基板温度和使用的预处理工艺气体的函数。当不使用UV光时,即不存在预处理工艺时,下面的电介质的k值可以被用作用于测量k值变化的基础值。下面的电介质层的k值与氧气分压强有关并且可以采用诸如反射计或椭偏仪(ellipsometer)的光学计量装置测量。从反射计或椭偏仪的测量提取k值的方法和技术在现有技术中是公知的。基板的层的k值的变化与基板温度、使用的工艺气体、氧分压强、工艺气体总压强以及UV剂量联合地相关。因此,需要控制这些清洗操作变量来执行清洗并且还满足可接受的k值变化目标。
图6A描述了在UV照射测试基板之前基板的示例侧视图600。重复结构的侧视图600在刻蚀后工艺之后而在包括预处理UV照射工艺和随后的湿清洗工艺的两步清洗方法之前。重复结构604以宽度608和高度612为特征。图6B描述了在预处理UV照射之后的基板的示例侧视图630。更小的宽度638和更高的高度642作为开始基板层清洗的证明。图6C是在完成预处理UV工艺和随后的湿清洗工艺之后的基板的示例侧视图660。聚合物和抗蚀剂层(图2中的层204和层208)的去除作为基本完成刻蚀后基板清洗的证明,并且实现了诸如目标宽度672和目标高度668的目标临界尺寸(critical dimension)。
现有技术中已知单独使用湿清洗工艺始终无法完全清洗聚合物。使用UV光的预处理工艺与湿清洗工艺相结合已经证明增加了清洗化学品的操作窗以去除挑战性的刻蚀后聚合物。因为在后段制程的残余物包括更多的氟化残余物,单独采用湿化学品来去除该残余物更加困难。若干技术趋于增加UV预处理的潜在价值(potential value)。首先,由于在增加的孔隙度(porosity)的情况下膜的低k值以及膜沉积和固化的变化,预处理UV照射的使用使膜对清洗化学品更加敏感。特别是针对反应离子刻蚀(RIE),由于超低k(ULK)材料和有机残余物的剥离(scaling)的工艺发展导致刻蚀后聚合物组合物变化,这需要昂贵且耗时的刻蚀后清洗化学品的再形成。使用本申请中描述的两步方法可以避免这种耗时的再形成。发明人发现使用UV光和工艺气体的预处理工艺可以完全执行100%的聚合物的清洗。在本发明中,采用预处理工艺的清洗的百分比有意地设定成小于100%,以便使下面的电介质的k值的变化最小或者将k值变化保持在基板应用的可接受的范围内。预处理工艺的目标并不完全为了去除聚合物层,而是为了化学地修改刻蚀后聚合物以在消除对下面的电介质造成损害的同时更加容易地采用湿清洗工艺去除。预处理工艺中两个或更多个操作变量的优化允许通过随后的湿清洗工艺更加一致地完成对基板的清洗。
图7是本发明的实施方式中利用使用UV光和湿清洗工艺的预处理工艺清洗基板的方法的示例流程图700。在操作704中,为清洗系统的预处理系统选择一个或更多个预处理目标。预处理目标的示例包括预处理清洗百分比、预处理第一工艺时间、总体拥有成本、k值的变化等。预处理清洗百分比可以在50-99%的范围中,第一工艺时间可以是120秒或更少,k值的变化可以是0.2或更少。在操作708中,两个或更多个预处理操作变量被选择并且为了实现两个或更多个预处理目标被优化。所选择的两个或更多个预处理操作变量可以包括UV剂量、基板温度、预处理清洗百分比、氧分压强、氧和臭氧分压强、第一工艺时间或工艺气体总压强中的两个或更多个。UV剂量可以在0.1-20.0J/cm2的范围中,氧分压强可以从15Torr到159Torr,工艺气体总压强可以是80-760Torr,基板温度可以从25℃到150℃,而下面的电介质的k值可以从2.0到2.6。
在操作712中,提供具有待清洗的层和下面的电介质层的基板以进行处理,下面的电介质具有k值。在操作716中,使用气体传送系统将预处理工艺气体传送到清洗系统的处理室中的基板的表面上。工艺气体可以包括氧或者具有臭氧对氧的特定比率的氧和臭氧。替选地,工艺气体可以是过滤的空气或清洁干燥的空气(CDA)。在操作720中,采用UV装置照射工艺气体以产生用于基板预处理的自由基,其中在预处理第一工艺时间期间完成照射,并且UV装置具有一个或更多个波长和UV剂量。在操作724中,使用在预处理系统中所选择的两个或更多个计量测量控制所选择的两个或更多个预处理变量以便满足一个或更多个预处理目标。在操作728中,使用湿清洗系统在基板上执行湿清洗工艺。湿清洗系统可以使用包括硫酸和过氧化氢(SPM)、具有臭氧的SPM(SPOM)、磷酸和蒸汽、氢氧化铵和过氧化氢、稀氢氟酸(DHF)、去离子水和臭氧、二甲基亚砜和单乙醇胺(DMSO/MEA)或其他湿清洗化学品的各种化学品。
图8是本发明的实施方式中使用所选择的清洗操作变量控制清洗系统的方法的示例流程图800。在操作804中,获得用于计算一个或更多个预处理目标的值的测量。测量可以包括预处理工艺期间获得基板的顶视图,以检查清洗工艺,检查刻蚀后聚合物去除的百分比,检查已经过的第一工艺时间,检查工艺气体组成、UV剂量或基板的旋转速度。在操作808中,将一个或更多个预处理目标的计算值与设定的一个或更多个预处理目标进行比较。一个或更多个预处理目标的值的计算可以包括计算k值的变化、预处理工艺中清洗的百分比、或者基于基板的计划的单位生产量(unit throughput)的拥有成本。在操作812中,如果不满足一个或更多个目标,则调整两个或更多个选择的操作变量直到满足一个或更多个预处理目标。例如,可以调整UV剂量以增加或降低预处理工艺中的清洗的百分比。可以调整基板温度、氧和/或臭氧的流速、氧和/或臭氧的分压强,以增加工艺气体中的原子氧或氧自由基或者臭氧对氧的比率。可以缩短第一工艺时间以使k值的变化最小或者可以延长第一工艺时间以确保更高的清洗百分比。
图9是清洗系统902的示例图900,在清洗系统902中,UV源904位于扩散板924之上,扩散板924被配置成在预处理工艺期间阻挡185nm波长的光照射基板932,并且在随后的湿清洗工艺期间保护UV源904和相关的设备。工艺气体912可以包括氧和/或氮。替选地,工艺气体可以包括氧和/或氮和/或臭氧。在另一个实施方式中,在预处理工艺期间,风机过滤单元(FFU)空气或CDA 920可以被引入到处理室916中作为工艺气体。在湿清洗工艺期间,通过传送装置936将处理液944传送到处理室916中以传送到基板932上,其中通过排出单元940、928去除处理液944和工艺气体912或920。因为不需要将外部的包含氧气的臭氧或氧馈入到UV室中,所以简化了基板清洗系统的系统硬件。已经证明采用标准空气的工艺能够产生足够的臭氧和氧原子用于预处理工艺工作。由于相关的硬件设计安全需要,携带气体线路的馈送氧或臭氧增加了工具成本。发明人发现,通过跟随有湿清洗工艺的使用UV和工艺气体的组合的预处理工艺,可以实现显著短的UV曝光时间。另外,发明人还能够缩短湿清洗工艺时间。此外,现场工艺气体的产生还减少了基板清洗系统的设计中使用的UV源的数量。例如,图9中的所有UV硬件直接地有助于基板的清洗,最终有助于原子氧的产生。
参见图9,本发明的实施方式包括通过真空UV(VUV)源(<200nm)、电晕放电或者具有200nm以下波长的UV源产生的臭氧的间接源,在处于仅254nm辐射照射时将其馈入到基板处理室中。臭氧对辐射的吸收开始在基板表面形成氧原子,使得能够进行无损的基板清洗。替选地,在另一个实施方式中,使用臭氧发射UV照射基板,其中将185nm吸收过滤器放置在基板与防止185nm直接和间接照明但允许臭氧通过扩散路径到达基板表面的几何结构之间。通过使填充氧的大气流过小于200nm波长吸收气体扩散板,可以增强工艺气体的大量运输。
图10是描述为了满足一个或更多个预处理目标、使用控制器1090用于优化清洗系统1004的操作变量的清洗系统1004的示例性架构图1000。清洗系统1004可以使用两个或更多个光学计量装置1008。可以将光学发射光谱(OES)装置1070与处理室1010在测量来自处理区域1015的光发射的位置处耦接。另外,另一组光学计量装置1060可以被布置在处理室1010的顶部。尽管示出了四个光学计量装置,可以安置许多其他替选和不同配置的光学计量装置以使用多个光学计量装置实现设计目标。四个光学计量装置可以是光谱反射装置和/或干涉装置。来自例如OES装置1070和光学计量装置组1060的两个或更多个光学计量装置的测量被传输到计量处理器(未示出),在计量处理器中提取一个或更多个临界尺寸值。可以采用一个或更多个光学计量装置OES1070和/或光学计量装置组1060以及一个或更多个刻蚀传感器装置1064和1068执行测量。
如上所述,过程传感器装置例如可以是测量残余物剩余的百分比的残余物传感器装置1064或者是测量与残余物去除的百分比实质相关的清洗操作变量。另一个过程传感器装置可以包括测量氧分压强或者氧和臭氧分压强或者工艺气体总压强的装置。可以利用使用过程数据、计量数据(衍射信号)和工艺性能数据的集合的多变量分析识别这些相互关系来选择至少一个或更多个过程传感器装置。来自例如OES装置1070和光学计量装置组1060的两个或更多个光学计量装置的测量以及来自传感器装置1064和/或1068的测量被传输到提取操作变量值的计量处理器(未示出)。
仍参见图10,清洗系统1004包括耦接到两个或更多个光学计量测量装置1009中的子控制器的控制器1090,该两个或更多个光学计量测量装置1009包括多个光学计量装置1060、光学发射光谱(OES)装置1070以及一个或更多个刻蚀传感器装置1064和1068。可以将一个或更多个化学监控器1092耦接到处理室以确保工艺气体在设定范围内。可以在运动控制系统1020中包括与控制器1090耦接的并且能够调整单个基板工具的运动控制系统的旋转的第一速度和第二速度的另外的子控制器1094。可以将控制器1090连接到内部网络或者经由互联网连接到其他控制器以便优化清洗操作变量以及实现一个或更多个预处理目标。
尽管已经在上文中仅详细地描述了本发明的某些实施方式,本领域的技术人员容易理解在未实际脱离本发明的新颖的教导和优点的情况下,实施方式的许多修改是可能的。例如,尽管提供了一个示例工艺流程来清洗基板,但可以预期其他的工艺流程。同样如上所述,本发明的清洗方法和系统可以在FEOL或BEOL制造集群中使用。因此,所有这样的修改旨在包括在本发明的范围之内。

Claims (21)

1.一种用于在清洗系统中清洗基板的方法,所述清洗系统包括预处理系统和湿清洗系统,所述预处理系统包括处理室和气体传送子系统,所述方法包括预处理工艺,包括:
为所述预处理系统选择两个或更多个预处理目标,所述预处理目标包括小于100%的预处理清洗百分比;
选择要被进行优化以实现两个或更多个预处理目标的两个或更多个预处理操作变量;
提供具有待清洗的层和下面的电介质层的基板,所述下面的电介质层具有k值;
使用所述气体传送子系统将预处理气体传送到所述处理室中的所述基板的表面上;
采用紫外(UV)装置照射预处理气体,并且产生用于所述基板的预处理的自由基,在预处理第一工艺时间期间完成所述照射,所述UV装置具有一个或更多个主发射波长和UV剂量;
在照射期间在所述预处理系统中获得一个或更多个计量测量;以及
使用所述预处理系统中获得的一个或更多个计量测量在所述照射期间控制所选择的两个或更多个预处理变量以便达到所选择的两个或更多个预处理目标;
其中,预处理气体包括氧或者氧和臭氧,以及其中,所述两个或更多个预处理操作变量包括UV剂量、基板温度、第一工艺时间、氧分压强、氧和臭氧分压强和/或工艺气体总压强中的两个或更多个,
其中,所述预处理目标还包括下面的电介质层的k值的目标变化,
其中,所述方法还包括在所述预处理第一工艺时间结束之后,使用所述湿清洗系统执行湿清洗工艺,并且
其中,使用第一单个晶圆系统执行所述预处理工艺,并且使用第二单个晶圆系统执行所述湿清洗工艺。
2.根据权利要求1所述的方法,其中,所述两个或更多个预处理目标包括预处理系统和湿清洗系统的目标总体拥有成本。
3.根据权利要求1所述的方法,其中,所述预处理清洗百分比被选择为在50%-99%的范围中。
4.根据权利要求1所述的方法,其中,所述预处理第一工艺时间小于120秒。
5.根据权利要求1所述的方法,其中,所述预处理清洗百分比被选择为在50%-99%的范围中,并且预处理照射持续时间小于120秒。
6.根据权利要求1所述的方法,其中,所述两个或更多个预处理目标包括所述预处理系统和湿清洗系统的目标总体拥有成本、所述第一工艺时间以及所述下面的电介质层的k值的变化。
7.根据权利要求6所述的方法,其中,
组合的预处理系统和湿清洗系统的所述目标总体的成本被选择为小于仅使用湿清洗系统清洗所述基板的成本;
所述第一工艺时间小于120秒;以及
所述下面的电介质层的k值的变化被选择为0.2或更小。
8.根据权利要求1所述的方法,其中,传送所述预处理气体利用了从空气中产生的氧原子和臭氧,或者利用了具有臭氧的间接源的氧,其中,所述臭氧通过真空UV源或电晕放电产生。
9.根据权利要求8所述的方法,其中,通过在所述基板处于仅254nm主发射波长的照射下时、具有240nm以下照射波长的UV源被馈入到所述处理室,来产生臭氧。
10.根据权利要求1所述的方法,其中,所述UV装置是一个或更多个低压汞灯。
11.根据权利要求10所述的方法,其中,所述UV装置具有两个主发射波长,包括185nm的第一主发射波长和254nm的第二主发射波长。
12.根据权利要求11所述的方法,其中,所述UV装置利用气体扩散板来吸收来自185nm主发射波长的照射,而允许所述预处理气体和所述254nm的第二主发射波长通过。
13.根据权利要求1所述的方法,其中,所述下面的电介质层的k值在2.0-2.6的范围中,并且基板温度在25℃-150℃的范围中。
14.根据权利要求1所述的方法,其中,所述氧分压强在15Torr-159Torr的范围中,并且所述工艺气体总压强在80Torr-760Torr的范围中。
15.根据权利要求1所述的方法,其中,所述UV剂量在0.1J/cm2-20.0J/cm2的范围中。
16.根据权利要求1所述的方法,其中,所述湿清洗工艺使用具有处理液的浸没清洗工艺,所述处理液使用水溶性、半水溶性或者全溶性化学品。
17.根据权利要求16所述的方法,其中,所述处理液包括氢氧化铵(NH4OH)和过氧化氢(H2O2)、稀氢氟酸(DHF)、去离子水(DIW)和臭氧(O3)或者二甲基亚砜(DMSO)或单乙醇胺(MEA)中的一个或更多个。
18.根据权利要求17所述的方法,还包括循环所述处理液。
19.根据权利要求1所述的方法,其中,所述清洗系统是前段制程制造集群或者后段制程制造集群的一部分。
20.根据权利要求1所述的方法,其中,在所述处理室中,所述预处理气体被传送并且被混合,其中,所述UV源位于气体扩散板之上,所述气体扩散板被配置成在预处理工艺期间阻挡185nm主发射波长的光照射所述基板,以允许所述预处理气体和254nm主发射波长通过,并且在随后的湿清洗工艺期间保护所述UV源和相关设备。
21.根据权利要求1所述的方法,其中,所述处理室被配置成在所述预处理工艺期间以及在随后的湿清洗工艺期间用作反应室。
CN201910122153.6A 2012-10-05 2013-09-13 用于在清洗系统中清洗基板的方法 Pending CN110071035A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261710657P 2012-10-05 2012-10-05
US61/710,657 2012-10-05
US13/783,382 US9966280B2 (en) 2012-10-05 2013-03-03 Process gas generation for cleaning of substrates
US13/783,382 2013-03-03
CN201380063928.8A CN104903014A (zh) 2012-10-05 2013-09-13 用于清洗基板的工艺气体的产生

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201380063928.8A Division CN104903014A (zh) 2012-10-05 2013-09-13 用于清洗基板的工艺气体的产生

Publications (1)

Publication Number Publication Date
CN110071035A true CN110071035A (zh) 2019-07-30

Family

ID=50431767

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201910122153.6A Pending CN110071035A (zh) 2012-10-05 2013-09-13 用于在清洗系统中清洗基板的方法
CN201380063928.8A Pending CN104903014A (zh) 2012-10-05 2013-09-13 用于清洗基板的工艺气体的产生

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201380063928.8A Pending CN104903014A (zh) 2012-10-05 2013-09-13 用于清洗基板的工艺气体的产生

Country Status (6)

Country Link
US (1) US9966280B2 (zh)
JP (1) JP6093446B2 (zh)
KR (1) KR101774122B1 (zh)
CN (2) CN110071035A (zh)
TW (1) TWI647756B (zh)
WO (1) WO2014055218A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818297B (zh) * 2020-08-31 2023-10-11 日商斯庫林集團股份有限公司 基板處理方法及基板處理裝置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249509B2 (en) 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
JP6832108B2 (ja) * 2016-09-28 2021-02-24 株式会社Screenホールディングス 基板処理方法
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
CN109092801B (zh) * 2017-06-20 2022-03-18 蓝思科技(长沙)有限公司 一种蓝宝石晶片的清洗方法及其采用的设备
US11027319B2 (en) * 2018-03-31 2021-06-08 Sensor Electronic Technology, Inc. Illumination using multiple light sources

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017142A1 (en) * 1992-02-07 2001-08-30 Masaaki Suzuki Method of washing substrate with UV radiation and ultrasonic cleaning
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
TW200909080A (en) * 2006-06-21 2009-03-01 Asahi Glass Co Ltd Apparatus and method for cleaning substrate
US20100071718A1 (en) * 2008-09-19 2010-03-25 Imec Method for Removing a Hardened Photoresist

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109674A (ja) 1991-10-18 1993-04-30 Ushio Inc レジスト膜の灰化方法と灰化装置
JP2727481B2 (ja) * 1992-02-07 1998-03-11 キヤノン株式会社 液晶素子用ガラス基板の洗浄方法
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US5803975A (en) 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
JP3167625B2 (ja) * 1996-07-29 2001-05-21 島田理化工業株式会社 基板のウェット洗浄方法
JPH1048586A (ja) * 1996-08-02 1998-02-20 Sharp Corp 表示素子用基板およびその製造方法並びにその製造装置
JPH11323576A (ja) 1998-05-08 1999-11-26 Sumitomo Precision Prod Co Ltd ウエットエッチング方法
JP4088810B2 (ja) 1998-09-01 2008-05-21 リアライズ・アドバンストテクノロジ株式会社 基板洗浄装置及び基板洗浄方法
US6143477A (en) * 1998-09-08 2000-11-07 Amtech Systems, Inc. Dual wavelength UV lamp reactor and method for cleaning/ashing semiconductor wafers
US6503464B1 (en) 1999-08-12 2003-01-07 Sipec Corporation Ultraviolet processing apparatus and ultraviolet processing method
US6503693B1 (en) 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
TWI291721B (en) 2002-06-23 2007-12-21 Asml Us Inc Method and system for atomic layer removal and atomic layer exchange
JP2005129733A (ja) 2003-10-23 2005-05-19 Sumitomo Precision Prod Co Ltd 表面改質方法及び表面改質装置
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7837821B2 (en) 2004-10-13 2010-11-23 Rheonix, Inc. Laminated microfluidic structures and method for making
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US8795769B2 (en) * 2005-08-02 2014-08-05 New Way Machine Components, Inc. Method and a device for depositing a film of material or otherwise processing or inspecting, a substrate as it passes through a vacuum environment guided by a plurality of opposing and balanced air bearing lands and sealed by differentially pumped groves and sealing lands in a non-contact manner
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
TWM329236U (en) 2007-01-19 2008-03-21 Kismart Corp Light emitting module
US20080268214A1 (en) * 2007-04-30 2008-10-30 Richard Allen Hayes Decorative safety glass
JP5224167B2 (ja) 2007-10-23 2013-07-03 株式会社湯山製作所 薬剤払出装置、並びに、薬剤払出システム
JP4640421B2 (ja) * 2008-02-29 2011-03-02 凸版印刷株式会社 紫外線照射装置
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
US8492736B2 (en) * 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US8772170B2 (en) 2010-09-01 2014-07-08 Arizona Board Of Regents On Behalf Of The University Of Arizona Enhanced stripping of implanted resists
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
JP5481366B2 (ja) 2010-12-22 2014-04-23 東京エレクトロン株式会社 液処理方法および液処理装置
US20130330920A1 (en) * 2012-06-06 2013-12-12 Applied Materials, Inc. Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017142A1 (en) * 1992-02-07 2001-08-30 Masaaki Suzuki Method of washing substrate with UV radiation and ultrasonic cleaning
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
TW200909080A (en) * 2006-06-21 2009-03-01 Asahi Glass Co Ltd Apparatus and method for cleaning substrate
US20100071718A1 (en) * 2008-09-19 2010-03-25 Imec Method for Removing a Hardened Photoresist

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818297B (zh) * 2020-08-31 2023-10-11 日商斯庫林集團股份有限公司 基板處理方法及基板處理裝置
US11915930B2 (en) 2020-08-31 2024-02-27 SCREEN Holdings Co., Ltd. Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
WO2014055218A1 (en) 2014-04-10
JP6093446B2 (ja) 2017-03-08
TW201428846A (zh) 2014-07-16
KR20150079680A (ko) 2015-07-08
JP2015537372A (ja) 2015-12-24
KR101774122B1 (ko) 2017-09-12
US20140096792A1 (en) 2014-04-10
TWI647756B (zh) 2019-01-11
CN104903014A (zh) 2015-09-09
US9966280B2 (en) 2018-05-08

Similar Documents

Publication Publication Date Title
CN110071035A (zh) 用于在清洗系统中清洗基板的方法
TWI526257B (zh) 使用噴嘴清洗基板上之一層的控制
TWI667708B (zh) 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
KR101010419B1 (ko) 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법
US20020151156A1 (en) Process for removal of photoresist after post ion implantation
JP2007027696A (ja) 超臨界流体処理のためのインラインメトロロジー
TWI686866B (zh) 用以提升光阻剝除性能及改質有機膜的過氧化物蒸氣處理
US20150136183A1 (en) System of controlling treatment liquid dispense for spinning substrates
JP2013506313A (ja) 基板上のシリコン含有反射防止コーティング層の再加工方法
TW202141180A (zh) 用以改善含金屬euv光阻的乾式顯影效能的塗佈/曝光後處理
US10249509B2 (en) Substrate cleaning method and system using atmospheric pressure atomic oxygen
CN115362414A (zh) 用于增强euv光刻性能的暴露前光致抗蚀剂固化
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
JP2015537372A5 (zh)
TWI713587B (zh) 以暴露於uv輻射之水性液體介質處理基板的方法
WO2014011657A2 (en) Method of stripping photoresist on a single substrate system
JP2002231696A (ja) レジスト除去方法とその装置
Claes et al. All-wet strip approaches for post-etch photoresist layers after low-k patterning
JP2017216404A (ja) 基板液処理装置、基板液処理方法及び記憶媒体
JP2019071359A (ja) 被処理体を処理する方法
WO2024123632A2 (en) Endpoint detection and tracking of photoresist processes
De Gendt et al. Evaluation of ozonated water spray for resist cleaning applications
TW202314365A (zh) 用以改善含金屬光阻的乾式顯影效能之多步驟曝光後處理
Le et al. Wet Clean Applications in Porous Low‐k Patterning Processes
TW202117468A (zh) 利用鹵化物化學品的光阻顯影

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190730