TW202141180A - 用以改善含金屬euv光阻的乾式顯影效能的塗佈/曝光後處理 - Google Patents

用以改善含金屬euv光阻的乾式顯影效能的塗佈/曝光後處理 Download PDF

Info

Publication number
TW202141180A
TW202141180A TW110103944A TW110103944A TW202141180A TW 202141180 A TW202141180 A TW 202141180A TW 110103944 A TW110103944 A TW 110103944A TW 110103944 A TW110103944 A TW 110103944A TW 202141180 A TW202141180 A TW 202141180A
Authority
TW
Taiwan
Prior art keywords
processing
photoresist
substrate
substrate according
gas
Prior art date
Application number
TW110103944A
Other languages
English (en)
Inventor
正義 游
李達
暹華 陳
英姬 李
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202141180A publication Critical patent/TW202141180A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文所述之各種實施例係關於用以處理含金屬光阻以改變光阻之材料特性的方法、設備、及系統。例如,本文之技術可涉及:在一處理腔室中提供一基板,其中該基板包含在一基板層上方的光阻層,且其中該光阻包含金屬;以及對該光阻進行處理以改變該光阻的材料特性,俾使得在後續的曝光後乾式顯影處理中的蝕刻選擇性增加。在許多實施例中,該處理可涉及使該基板暴露於升高的溫度及/或遠程電漿。在該處理期間可控制一或更多處理條件如溫度、壓力、周圍氣體化學品、氣體流量/比率、及濕度,以根據需要而調諧材料特性。

Description

用以改善含金屬EUV光阻的乾式顯影效能的塗佈/曝光後處理
[參引合併] PCT申請表係與本說明書同時提交以作為本申請案的一部分。本申請案主張其權益或優先權的各個申請案(如在同時提交的PCT申請表中所標識)均為所有目的而全文併入本文中以供參照。
本發明係關於用以改善含金屬EUV光阻的乾式顯影效能的塗佈/曝光後處理。
本發明大體上涉及半導體處理之領域。在特定實施態樣中,本發明涉及:在進行EUV圖案化和EUV圖案化薄膜顯影以形成圖案化遮罩的背景下,用於EUV光阻(例如,對EUV具敏感性的含金屬及/或金屬氧化物之光阻膜)之處理的方法及設備。
本文之各種實施例係關於用以處理基板的方法、設備、及系統。
在揭示實施例的一個態樣中,提供一種處理基板之方法,該方法包含:在一處理腔室中提供一基板,其中該基板包含一基板層及位於該基板層上方的光阻,且其中該光阻包含金屬;以及對該光阻執行一處理,以改變該光阻的材料特性,俾使得在後續的曝光後乾式顯影處理中的蝕刻選擇性增加。
在某些實施例中,該處理可致使該光阻中的交聯作用增加。在該等或其他實施例中,該處理可涉及伴隨溫度、壓力、周圍氣體化學品、氣體流量/比率、及濕度之控制的熱處理。在許多實施例中,該周圍氣體化學品可包含選自由以下者所組成之群組的惰性氣體:氮(N2 )、氦、氖、氬、氙、及其組合。在某些此等情況下,該周圍氣體化學品可實質上不含反應性氣體。在某些其他情況下,該周圍氣體化學品可包含一反應性氣體物種。在某些此等情況下,該反應性氣體物種可選自由以下者所組成之群組:水、氫(H2 )、氧(O2 )、臭氧、過氧化氫、一氧化碳、二氧化碳、羰基硫、二氧化硫、氯(Cl2 )、氨、氧化亞氮、一氧化氮、甲烷、醇、乙醯丙酮、甲酸、草醯氯、吡啶、羧酸、胺、及其組合。
在許多實施例中,該光阻已被塗佈於該基板層但尚未暴露於圖案化輻射。在某些此等實施例中,該處理可為一塗佈後烘烤處理(PAB)。在該等或其他實施例中,該處理可為一塗佈後遠程電漿處理。在許多實施例中,該處理可使得該光阻的曝光輻射敏感性增加,從而與未進行該處理之情況下所達到之較高的期望尺寸劑量(dose to size)和較高的線邊緣粗糙度相比,而在該基板暴露於該圖案化輻射時實現較低的期望尺寸劑量(dose to size),並在該基板暴露於該圖案化輻射之後實現較低的線邊緣粗糙度。在該等或其他實施例中,該處理可在約90至250°C之間或90至190°C之間的溫度下進行。
在許多實施例中,該光阻已透過部分地暴露於圖案化輻射而被圖案化,從而引致該光阻的經曝光與未曝光部分。在某些此等實施例中,該處理為一曝光後烘烤處理(PEB)。在該等或其他實施例中,該處理可為一曝光後遠程電漿處理。在許多實施例中,該處理可在約170至250°C之間或更高的溫度下進行。在該等或其他實施例中,可藉由該處理而改變該光阻之未曝光與經曝光部分的組成,俾(i) 增加在乾式顯影蝕刻氣體中的蝕刻速率;(ii) 增加該光阻之未曝光與經曝光部分之間的組成差異;及/或(iii) 增加該光阻之未曝光與經曝光部分之間的一或更多材料特性的差異。
在本文之許多實施例中,在對該光阻進行該處理時可使該基板的溫度進行變化。在該等或其他實施例中,可將該處理期間的壓力控制在大氣壓力以下。例如,可將該處理期間的壓力控制在約0.1-760 Torr之間、或約0.1-10 Torr之間。在該等或其他實施例中,該處理可涉及使該光阻暴露於一遠程電漿,該遠程電漿產生自由基,該等自由基與該光阻進行反應以改變該光阻的一或更多材料特性。在某些此等情況下,該等自由基可由一氣體物種所產生,該氣體物種係選自由以下者所組成之群組:水、氫(H2 )、氧(O2 )、臭氧、過氧化氫、一氧化碳、二氧化碳、羰基硫、二氧化硫、氯(Cl2 )、氨、氧化亞氮、一氧化氮、甲烷、醇、乙醯丙酮、甲酸、草醯氯、吡啶、羧酸、胺、及其組合。
在某些實施例中,該處理可為使用第一組處理條件及第二組處理條件執行的熱處理,其中該第一組處理條件與該第二組處理條件針對周圍氣體或混合物、溫度、及/或壓力之其中至少一者而有所不同,從而調制該光阻的材料特性和調諧該光阻的蝕刻選擇性。
在許多實施例中,該光阻可為對EUV具敏感性的薄膜。在該等或其他實施例中,該處理可在使該光阻暴露於EUV微影處理之前進行。在某些實施例中,可在使該光阻暴露於EUV微影處理之後再次進行該處理。在某些實施例中,該處理係在使該光阻暴露於EUV微影處理之後進行。
在揭示實施例的另一態樣中,提供一種用於處理基板之設備,該設備包含:處理腔室,其包含一基板支座;處理氣體源,其係與該處理腔室及相關的氣體流量控制硬體連接;基板熱控制設備;基板搬運硬體,其係與該處理腔室連接;以及控制器,其具有一處理器,其中該處理器係至少與該氣體流量控制硬體、該基板熱控制設備、及該基板搬運硬體可操作地連接,其中該控制器係配置以引致所請或本文另外描述的方法中之任一或多者。
該等及其他的態樣係於以下參照圖式進一步描述。
在此詳細參照本揭示內容之具體實施例。具體實施例之範例係於附圖中說明。雖本揭示內容將結合這些具體實施例描述,然應理解不欲使本揭示內容限制於該等具體實施例。相反地,欲涵蓋可包括在本揭示內容之精神與範疇內的置換、變更、與均等物。在以下說明中,提出許多特定細節以提供對本揭示內容之徹底了解。本揭示內容可在缺少一些或所有該等特定細節下實施。在其他情況下,為人熟知的處理操作並未詳加描述以免不必要地模糊本揭示內容。
半導體處理中之薄膜圖案化通常為半導體製造中的重要步驟。圖案化涉及微影處理。在習知的光微影技術(例如193 nm之光微影技術)中,藉由在光罩所界定之選擇性區域中使光阻暴露於光子以將圖案印至感光性光阻膜上,從而在經曝光之光阻中引起化學反應,並產生化學對比,其可在顯影步驟中加以利用,俾移除光阻的某些部分以形成圖案。接著,經圖案化並顯影的光阻膜可用作蝕刻遮罩,以將圖案轉移至由金屬、氧化物等所組成的下伏薄膜中。
先進技術節點(如國際半導體技術路線圖(International Technology Roadmap for Semiconductors)所定義)包括22nm、16nm、及更先進之節點。在16nm節點中,例如,鑲嵌結構中的洞或線之寬度通常不大於約30nm。先進半導體積體電路(IC)與其他元件上之特徵部的縮放驅使微影技術改善解析度。
極紫外光(EUV)微影可藉由移至比利用習知光微影方法可實現者更小的成像源波長而擴展微影技術。約10-20 nm、或11-14 nm之波長(例如13.5 nm之波長)的EUV光源可用於尖端微影工具(亦稱為掃描器)。EUV輻射在許多固體與流體材料(包括石英與水蒸氣)中受到強吸收,並因此在真空中操作。
EUV微影術利用以EUV光加以圖案化的EUV光阻,俾形成在蝕刻下伏層之過程中所使用的遮罩。EUV光阻可為藉由基於液體之旋塗技術所製造的基於聚合物之化學放大光阻(CAR)。CAR的替代品為可直接光圖案化的含金屬氧化物EUV光阻膜。可透過濕式(旋塗)技術而製造此等光阻膜,例如可取得自Inpria, Corvallis, OR,以及例如在美國專利公開案US 2017/0102612及US 2016/0116839中所描述者,至少它們對可光圖案化之含金屬氧化物膜的揭露內容係併入本文中以供參照。此等膜亦可藉由乾式(氣相沉積)技術加以製造,例如在2019年5月9日提交之案名為「METHODS FOR MAKING EUV PATTERNABLE HARD MASKS」的申請案PCT/US19/31618中所描述者,在此將其併入以供參照。
該等可直接光圖案化的EUV光阻可由以下者組成或包含以下者:高EUV吸收性金屬及其有機金屬氧化物/氫氧化物和其他衍生物。在EUV曝光後,EUV光子及所產生的二次電子可引起化學反應(例如在基於SnOx之光阻(及其他基於金屬氧化物之光阻)中的β- H消除反應),並提供化學功能性以促進光阻膜中的交聯和其他變化。接著,可在顯影步驟中利用該等化學變化以選擇性地去除光阻膜的經曝光或未曝光區域,並產生用於圖案轉移的蝕刻遮罩。
含金屬氧化物膜可藉由在真空環境中進行EUV曝光而直接進行圖案化(亦即,不使用個別的光阻),其提供次30 nm(sub-30 nm)的圖案化解析度,例如在2018年6月12日公告且案名為「EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS」的美國專利第9,996,004號中所描述,其至少關於可直接光圖案化之含金屬氧化物膜的組成、沉積、與圖案化以形成EUV光阻遮罩的揭露內容係併入本文中以供參照。一般而言,圖案化涉及利用EUV輻射進行EUV光阻曝光以在光阻中形成光學圖案,隨後進行顯影以根據該光學圖案而移除光阻的一部分,俾形成遮罩。
亦應理解,雖然本發明涉及微影圖案化技術及以EUV微影為例的材料,但其亦適用於其他下一世代的微影技術。除了EUV(其包含當前正在使用和開發的標準13.5 nmEUV波長)以外,與此等微影最為相關的輻射源為DUV(深-UV) (其大體上涉及使用248 nm或193 nm之準分子雷射源)、X射線(其正規上包含在X射線範圍之較低能量範圍的EUV)、以及電子束(其可涵蓋廣泛的能量範圍)。此等方法包含使具有裸露之羥基的基板與經烴基取代之錫封端劑相接觸以在基板表面上形成經烴基封端之SnOx膜作為成像/PR層的方法。特定方法可取決於半導體基板及最終半導體元件中所使用的特定材料及應用。因此,本申請案中所述之方法僅為可於本技術中使用的方法及材料的示例。
直接可光圖案化之EUV光阻可由混合在有機成分內的金屬及/或金屬氧化物所構成、或是包含混合在有機成分內的金屬及/或金屬氧化物。金屬/金屬氧化物係非常具前景的,因為其可增強EUV光子的吸收率並產生二次電子、且/或顯示出對於下伏膜堆疊體及裝置層之增進的蝕刻選擇性。至今,已使用濕式(溶劑)方法對該等光阻進行顯影,該濕式方法需要將晶圓移動至一軌道,在該處使晶圓暴露於顯影溶劑、乾燥、和烘烤。濕式顯影不僅限制了生產力,還會在精密特徵部之間的溶劑蒸發期間因表面張力效應而導致線路崩塌。
乾式顯影已被提出以透過消除基板脫層和介面失效來克服該等問題。乾式顯影有其自身的挑戰性,包括在未曝光與經EUV曝光之光阻材料之間的蝕刻選擇性,其與濕式顯影相比而可能導致較高的期望尺寸劑量(dose to size)需求以達到有效光阻曝光。次佳的選擇性亦可能因在蝕刻氣體下的較長暴露而導致光阻角部圓化,其可能在後續的蝕刻轉移步驟中使得線臨界尺寸(CD)偏差增加。
依據本揭示內容之各種態樣,在沉積之後(例如塗佈後烘烤(PAB))及/或曝光之後(例如曝光後烘烤(PEB))對基於金屬及/或金屬氧化物之光阻進行一或更多後處理能夠增加經曝光與未曝光之光阻(PR)之間的材料特性差異,並因此減小期望尺寸劑量(dose to size, DtS)、改善PR輪廓、並且改善後續乾式顯影之後的線邊緣粗糙度及線寬粗糙度(LER/LWR)。此等處理可涉及伴隨溫度、氣體環境、及濕度其中一或多者之控制的熱處理,其使得後續處理中的乾式顯影性能獲得改善。在某些情況下,可使用遠程電漿。
在塗佈後處理(例如PAB)之實例中,在沉積之後且在曝光之前可使用伴隨溫度、氣體環境(例如,利用本文所述之氣體之其中一或多者)、壓力、及濕度其中一或多者之控制的熱處理來改變未曝光之含金屬及/或金屬氧化物之光阻的組成。該變化可提高材料的EUV敏感性,並因此可在曝光和乾式顯影之後實現較低的期望尺寸劑量(dose to size)和線邊緣粗糙度。
在曝光後處理(例如PEB)之實例中,可使用伴隨溫度、氣體環境(例如,利用本文所述之氣體之其中一或多者)、壓力、及濕度其中一或多者之控制的熱處理來改變未曝光及經曝光之光阻兩者的組成。在某些情況下,與未曝光之光阻相比,該處理可優先改變經曝光之光阻的組成及/或材料特性,使得經曝光之光阻中的組成及/或材料特性的變化大於未曝光之光阻。在某些其他情況下,與經曝光之光阻相比,該處理可優先改變未曝光之光阻的組成/材料特性,使得未曝光之光阻中的組成及/或材料特性的變化大於經曝光之光阻。該等優先相互作用可能因EUV曝光期間發生的化學變化(例如光阻中的烷基減失)而引起。在處理期間發生的變化可增加未曝光與經曝光之光阻之間的組成/材料特性的差異,從而增強未曝光與經曝光之光阻之間的蝕刻速率差異。因此,可實現較高的蝕刻選擇性(例如,在光阻中之圖案的乾式顯影期間)。歸因於經改善的選擇性,可獲得具有改良的表面粗糙度、及/或較少的光阻殘留物/浮渣之較呈方形之PR輪廓。
在任一情況下,在替代實施例中,熱處理可由遠程電漿處理取代或加以輔助。遠程電漿處理可用以增加反應性物種,從而降低所需反應之能量障壁並提高生產力。遠程電漿可產生更多的反應性自由基,因此使得處理的反應溫度/時間降低(例如,與僅仰賴於熱能的處理相比),從而提高生產力。
因此,可採用一或複數處理使光阻本身改質,俾增加乾式顯影選擇性。此等熱及/或自由基改質可增加未曝光與經曝光材料之間的對比度,從而增加後續乾式顯影步驟的選擇性。可藉由調整一或更多製程條件(包括溫度、氣流、濕度、壓力、及/或RF功率)而調諧在未曝光與經曝光材料的材料特性之間的所得差異。乾式顯影所實現的較大製程寬容度(不受在濕式顯影溶劑中的材料溶解度所限制)使得能夠在處理過程中採用更為苛刻的條件,從而進一步增進可實現的材料對比度。所得之高材料對比度為乾式顯影反饋更寬的製程容忍度,並因此促成較高的生產力、較低的成本、和較佳的缺陷性能。
濕式顯影的光阻膜之實質限制為受限溫度的烘烤。濕式顯影依賴於光阻的經曝光與未曝光區域之間的材料溶解度差異。將光阻加熱至較高溫度可大幅提高含金屬PR膜的經曝光與未曝光區域中的交聯度。若光阻被加熱至約220℃或更高的溫度,則光阻的經曝光與未曝光區域兩者皆變得不溶於濕式顯影溶劑,因此光阻膜不再能夠藉由利用濕式顯影技術而可靠地顯影。
相比之下,對於乾式顯影光阻膜(依靠PR的經曝光與未曝光區域之間的乾式蝕刻速率差(亦即,選擇性)以僅去除光阻的經曝光或未曝光部分)而言,PAB或PEB中的處理溫度可於更寬許多的範圍內變化,因為適用於濕式顯影溶劑中之溶解度的限制不適用於乾式蝕刻技術。因此,在乾式顯影的情況下,可在相對較寬的溫度範圍間調諧/最佳化處理程序。例如,處理溫度可在約90至250℃的範圍內,例如對於PAB而言為90至190℃,而對於PEB而言為約170至250℃或更高。已發現在所述範圍內之較高處理溫度下會發生蝕刻速率降低和蝕刻選擇性較高的現象。
圖6A-6D繪示實驗結果,其顯示可藉由在PEB期間控制溫度而實現的光阻層之未曝光與經曝光部分之間的改良材料對比度及選擇性。在各個範例中,使基板暴露於PEB,在該PEB過程中對基板溫度進行控制(例如,藉由控制基板支座溫度)。之後,利用乾式技術對各個基板上的光阻層進行顯影,以在基板上形成一系列光阻特徵部。在圖6A中,溫度被控制在約235℃。在圖6B中,溫度被控制在約220℃。在圖6C中,溫度被控制在約205℃。在圖6D中,溫度被控制在約190℃。在較低的處理溫度下,光阻輪廓顯示出明顯的錐形/圓化特徵。相比之下,在較高的處理溫度下,使得光阻輪廓獲得顯著改善,其特徵部的錐形/圓化程度較低許多,而較呈方形。較高的PEB溫度在光阻的經曝光與未曝光部分之間提供較大的材料對比度,從而在使光阻顯影時提供較高的選擇性。此外,利用較高PEB溫度所處理的基板在顯影之後顯示出較高的線臨界尺寸,其對應於較低的期望尺寸劑量(dose to size)。換言之,與在較低溫度下處理基板(或完全未處理)時達到相同臨界尺寸所需的EUV輻射劑量相比,較高的處理溫度可用於在較低的EUV輻射劑量下達到期望的臨界尺寸。如上所述,乾式顯影技術係在PEB處理之後使用。在許多情況下,基於上述原因,使得濕式顯影技術無法在高溫下(例如> 180℃)對經PEB處理的光阻層進行顯影。
在特定實施例中,可於100-10,000 sccm之範圍內的氣體環境流量下進行PAB及/或PEB處理。在該等或其他實施例中,可將周圍環境中的含水量控制在約若干百分比至100%之間(例如,在某些情況下在大約20%-50%之間)。在該等或其他實施例中,可對處理期間的壓力進行控制,例如,控制在大氣壓或低於大氣壓(例如,利用真空以達到低於大氣壓之壓力)。在某些情況下,處理期間的壓力可介於約0.1-760 Torr之間,例如介於約0.1-10 Torr之間,或在某些情況下介於約0.1-1 Torr之間。在該等或其他實施例中,可將處理的持續時間控制在約1至15分鐘之間,例如介於約2-5分鐘之間、或約2分鐘。
這些發現可用於調諧處理條件,以針對特定材料及情況而定制或最佳化處理。例如,對於一給定EUV劑量,在空氣中約20%的濕度下進行220°C至250°C PEB熱處理達約2分鐘所能達到的選擇性,可與未進行此等熱處理之情況下使用約提高30%之EUV劑量時的選擇性相似。因此,取決於半導體處理操作的選擇性要求/限制,可使用如本文所述之熱處理來降低所需的EUV劑量。或者,若需要更高的選擇性並且可容許更高的劑量,則可獲得比在濕式顯影之情況下更高許多的選擇性(例如,在光阻的經曝光與未曝光區域中的乾式蝕刻選擇性高達100)。基於遠程電漿的處理可帶來相同或相似的益處。
圖1繪示本揭示內容(一種處理半導體基板的方法)之一個態樣的程序流程。方法100涉及:在步驟101,於處理腔室中提供在半導體基板之基板層上的含金屬光阻。基板可為例如以任何適當方式加工的經部分加工之半導體裝置薄膜堆疊體。在103,對含金屬光阻進行處理以改變含金屬光阻的材料特性,俾使得在後續的曝光後乾式顯影處理中的蝕刻選擇性提高。例如,該處理可致使含金屬光阻中的交聯作用增加。
在某些實施例中,該處理可涉及伴隨溫度、氣體環境、及/或濕度之控制的熱處理。該氣體環境可包括反應性氣體如空氣、水(H2 O)、氫(H2 )、氧(O2 )、臭氧(O3 )、過氧化氫(H2 O2 )、一氧化碳(CO)、二氧化碳(CO2 )、羰基硫(COS)、二氧化硫(SO2 )、氯(Cl2 )、氨(NH3 )、氧化亞氮(N2 O)、一氧化氮(NO)、甲烷(CH4 )、甲胺(CH3 NH2 )、二甲胺((CH3 )2 NH)、三甲胺(N(CH3 )3 )、乙胺(CH3 CH2 NH2 )、二乙胺((CH3 CH2 )2 NH)、三乙胺(N(CH2 CH3 )3 )、吡啶(C5 H5 N)、醇類(Cn H2n+1 OH,包括(但不限於)甲醇、乙醇、丙醇、及丁醇)、乙醯丙酮(CH3 COCH2 COCH3 )、甲酸(HCOOH)、草醯氯((COCl)2 )、羧酸(Cn H2n+1 COOH)、以及其他小分子胺(NR1 R2 R3 ,其中R1 ­­ 、R2 、和R3 之各者係獨立地選自氫、羥基、脂族、鹵代脂族、鹵代雜脂族、雜脂族、芳族、脂族-芳族、雜脂族-芳族、或其任何組合)等。亦可使用該等反應性氣體之任何者的經取代形式。在某些情況下,在處理操作期間,可使基板暴露於二或更多反應性氣體。
在使用反應性氣體以處理光阻的實施例中,反應性氣體可透過氧化、配位、或酸/鹼化學作用而與光阻相互作用。
在許多實施例中,氣體環境可包括惰性氣體如N2 、Ar、He、Ne、Kr、Xe等。在某些情況下,可將惰性氣體與以上列出的反應性氣體之其中一或多者一起提供。在其他情況下,氣體環境可為惰性的、或實質上惰性的。例如,氣體環境可不含或實質上不含反應性氣體。如本文所使用,若反應性氣體僅以微量存在,則氣體環境可被視為實質上不含反應性氣體。在使用惰性氣體環境的各種情況下,惰性氣體環境可透過減少光阻之相關區域中的過度氧化現象而增加組成及/或材料特性的對比度。例如,在使光阻暴露於圖案化輻射之後於惰性氣體環境中對光阻進行熱處理的某些情況下,惰性氣體環境透過減少存在於光阻之未曝光區域上的過度氧化現象而促進材料對比度(例如,組成及/或材料特性)的增加。
本文所述之任何實施例可包括一還原步驟,其可用以還原光阻的氧化或過度氧化的區域。此等還原步驟在將光阻(或其部分)氧化的步驟之後尤其有用。在許多實施例中,還原步驟可涉及使基板暴露於還原氣體環境或惰性氣體環境。在某些情況下,還原步驟可涉及加熱基板及/或使基板暴露於電漿。電漿可由惰性氣體及/或還原氣體產生。
在許多實施例中,如圖2所示,可在將光阻202a塗佈於基板201之後、在使光阻202a暴露於圖案化輻射之前施行該處理。例如,在該處理為一熱處理的一個範例中,該處理可被稱為塗佈後烘烤(PAB)。該處理改變光阻202a以形成經改質之光阻202b。與處理之前的光阻202a相比,經改質之光阻202b表現出經改良的特性。例如,經改質之光阻202b可比未經改質之光阻202a對EUV輻射更具敏感性。歸因於此等增加的EUV敏感性,經改質之光阻可在EUV曝光期間表現出較低的期望尺寸劑量(dose to size),並且可在顯影之後提供較低的線邊緣粗糙度。
亦可在不同的時間提供該處理。在許多實施例中,如圖3所示,可於已沉積光阻302a並透過部分地暴露於輻射(例如EUV)將其圖案化之後施行該處理,俾使受處理之基板包含EUV光阻之經曝光部分302c及未曝光部分302b。例如,在該處理為熱處理的一個範例中,該處理可被稱為曝光後烘烤(PEB)。該處理可對EUV光阻的經曝光部分302c和未曝光部分302b進行改質,從而形成經改質之經曝光部分302e和經改質之未曝光部分302d。透過該處理而產生的改質作用可增加光阻材料在乾式顯影蝕刻氣體中的蝕刻速率。替代地或附加地,透過該處理而產生的改質作用可增加光阻的未曝光部分與經曝光部分之間的組成/材料特性之差異。換言之,對(1) 處理後之光阻的經改質之未曝光部分302d與(2) 處理後之光阻的經改質之經曝光部分302e進行比較時的組成/材料特性之間的差異,相比於對(1) 處理前之光阻的未曝光部分302b與(2) 處理前之光阻的經曝光部分302c進行比較時的組成/材料特性之間的差異而更為顯著。
此外,在PAB或PEB處理中烘烤溫度的變化速率為另一個有用的製程參數,可對其進行操縱以微調交聯/蝕刻選擇性結果。PAB及PEB熱處理可以單一操作或多重操作完成。在使用多重操作的情況下,可在個別操作期間提供不同的處理條件。在個別操作之間可改變的例示性處理條件包括(但不限於)鄰近基板之周圍氣體或混合物的本體及濃度、濕度、溫度、壓力等。可控制該等處理條件以調制PR特性,並因此調諧不同的蝕刻選擇性。
在一替代實施例中,塗佈後和曝光後處理中的任一者或兩者可涉及遠程電漿處理(與熱處理一起或代替熱處理),俾產生自由基以與含金屬光阻進行反應,從而將其材料特性改質。參照圖2,在某些實施例中,在沉積光阻202a之後且在使其暴露於EUV輻射之前進行遠程電漿處理。在此情況下,該處理可被稱為塗佈後電漿處理。參照圖3,在某些實施例中,在沉積光阻302a並使其暴露於EUV輻射以形成經曝光部分302c和未曝光部分302b之後進行遠程電漿處理。在此情況下,該處理可被稱為曝光後電漿處理。
在使用遠程電漿處理光阻的實施例中,自由基可由本文關於熱處理所描述的相同或不同的氣體物種所產生。
在某些實施例中,可使用多重處理。例如,第一處理可在光阻沉積之後且在EUV曝光之前進行(如圖2所示),而第二處理可在EUV曝光之後且在顯影之前進行(如圖3所示)。可於第一處理期間及/或第二處理期間如本文所述地控制該等處理條件之其中一或多者。 設備
圖4A及圖4B繪示可用於執行本文所述之處理的處理站之不同實施例的示意圖。圖4A所示之處理站480可用於基於熱之處理,例如塗佈後烘烤或曝光後烘烤。圖4B所示之處理站400可用於基於熱之處理、遠程電漿處理、或兩者皆是。該等處理可包括塗佈後處理以及曝光後處理。圖4A及圖4B所示之處理站亦可用於本文所述的其他處理。針對需要電漿的步驟,可使用圖4B的處理站400。針對不需要電漿的步驟,可使用圖4B的處理站400或圖4A的處理站480。
圖4A顯示根據一實施例之處理腔室480的簡化圖。在此範例中,處理腔室480為具有可控氣體環境的封閉腔室。基板481可被定位在基板支座482上,基板支座482亦可加熱和/或冷卻基板。在某些情況下,可提供替代的或附加的加熱與冷卻元件。處理氣體通過入口483而進入處理腔室480。材料通過出口484而從處理腔室480中被去除,出口484可連接至真空源(未圖示)。處理腔室480之操作可由控制器486所控制,其係在下文中進一步討論。此外,可設置感測器485,例如用以監視處理腔室480中之氣體環境的溫度及/或組成。控制器486可在主動回饋迴路中使用來自感測器485的讀值。在許多實施例中,可藉由包括與處理腔室480流體連通的遠程電漿腔室(未圖示)而修改處理腔室480。在此等情況下,在電漿被輸送至處理腔室480之前,可於遠程電漿腔室中生成電漿。
可以多種方式配置在其中進行處理的腔室。在某些實施例中,該腔室為用於沉積光阻的同一腔室、及/或用於使光阻暴露於EUV輻射的同一腔室、及/或用於將光阻顯影的同一腔室。在某些實施例中,該腔室為專用的烘烤或遠程電漿處理腔室,其未被用於其他處理如沉積、蝕刻、EUV曝光、或光阻顯影。該腔室可為獨立的腔室,或者其可被整合至更大的處理工具中,例如用於沉積光阻的沉積工具、用於使光阻暴露於EUV輻射的EUV曝光工具、及/或用於將光阻顯影的顯影工具。根據特定應用的需要,可將用於處理光阻的腔室與該等工具中之任一或多者結合(例如在群集工具中)。在某些情況下,可在為多個腔室提供低壓的共用低壓處理工具環境中設置該腔室。
圖4B概要地顯示感應耦合式電漿設備400的橫剖面圖,其適合執行某些實施例或實施例的態樣(例如氣相(乾式)沉積、如上述之熱處理、如上述之電漿處理、乾式顯影及/或蝕刻),該設備之範例為由加州費利蒙的蘭姆研究公司所生產的Kiyo®反應器。在其他實施例中,可使用具有執行本文所述之乾式沉積、處理(熱或遠程電漿)、顯影及/或蝕刻處理之一或更多操作之功能的其他工具或工具類型來實行。
感應耦合式電漿設備400包含整體處理腔室424,其結構上係由腔室壁401及窗部411所界定。腔室壁401可由不鏽鋼或鋁所製造。窗部411可由石英或其他介電材料所製造。選用性之內部電漿柵450將整體處理腔室分為上子腔室402及下子腔室403。在某些實施例中,可將電漿柵450移除,從而利用由子腔室402及403所構成的腔室空間。在存在電漿柵450之處,其可用於將基板與直接在上子腔室402中產生之電漿隔離開,從而在下子腔室403中利用遠程電漿處理基板。在此範例中,存在於下子腔室403中的電漿可被視為遠程電漿,因為其起初係在利用電漿處理基板之處(例如,下子腔室403)的上游之位置處(例如,上子腔室402)產生。
夾頭417係安置於下子腔室403內靠近底部的內表面處。夾頭417係配置以接收及固持半導體晶圓419,蝕刻及沉積處理係在該半導體晶圓419上執行。夾頭417可為用以支持晶圓419(當其存在時)的靜電夾頭。在一些實施例中,邊緣環(未顯示)環繞夾頭417、且具有與晶圓419上表面(當存在於夾頭417上方時)為近乎平面的上部表面。夾頭417亦包含靜電電極,用以夾持與去夾持晶圓419。可為此用途而提供濾波器及DC箝制電源供應器(未顯示)。亦可提供用於將晶圓419抬升離開夾頭417的其他控制系統。可利用RF電源供應器423以使夾頭417電氣帶電。透過連接部427以將RF電源供應器423連接至匹配電路421。透過連接部425以將匹配電路421連接至夾頭417。藉此方式,將RF電源供應器423連接至夾頭417。在各種實施例中,可將靜電夾頭的偏壓功率設定為約50V、或取決於依據揭示實施例所執行的處理而設定為不同的偏壓功率。例如,偏壓功率可介於約20 Vb與約100 V之間、或介於約30 V與約150 V之間。
用於電漿生成之元件包含置於窗部411上方的線圈433。在一些實施例中,未使用線圈。在某些此等實施例中,可設置用於產生電漿的替代機構,例如用於提供電容耦合式電漿、微波電漿等。在使用感應耦合式電漿之情況下,線圈433係由導電材料製造、且包含至少一整圈。顯示於圖4B中的線圈433之範例包含三圈。線圈433的截面係以符號顯示,且具有「X」的線圈旋轉伸入頁面,而具有「●」的線圈旋轉伸出頁面。用於電漿產生之元件亦包含配置以將RF功率供應至線圈433的RF電源供應器441。一般而言,透過連接部445以將RF電源供應器441連接至匹配電路439。透過連接部443以將匹配電路439連接至線圈433。藉此方式,將RF電源供應器441連接至線圈433。
選用性的法拉第屏蔽449a係位於線圈433與窗部411之間。法拉第屏蔽449a可相對於線圈433而保持間隔開的關係。在某些實施例中,法拉第屏蔽449a係緊接設置於窗部411上方。在某些實施例中,法拉第屏蔽449b位在窗部411與夾頭417之間。在某些實施例中,法拉第屏蔽449b不相對於線圈433保持間隔開的關係。例如,法拉第屏蔽449b可位於窗部411正下方而沒有間隙。線圈433、法拉第屏蔽449a、及窗部411各者係配置成實質上彼此平行。法拉第屏蔽449a可防止金屬或其他物種沉積在處理腔室424的窗部411上。
可使處理氣體通過位於上子腔室402中的一或更多主氣流入口460、及/或通過一或更多側氣流入口470而流入處理腔室。同樣地,雖然未明確顯示,相似的氣流入口可用以將處理氣體供應至電容耦合式電漿處理腔室。真空泵浦(例如,一或二級機械乾式泵浦及/或渦輪分子泵浦440)可用以將處理氣體自處理腔室424抽出,並維持處理腔室424內之壓力。例如,真空泵浦可用於在排淨操作期間將整體處理腔室424或下子腔室403排空。經由閥控制之管道可用以將真空泵浦流體連接至處理腔室424,俾選擇性的控制由真空泵浦所提供的真空環境之應用。此可藉由在工作電漿處理期間採用閉迴路控制的限流裝置以完成,例如節流閥(未顯示)或鐘擺閥(未顯示)。同樣地,亦可採用真空泵浦及通往電容耦合式電漿處理腔室的經由閥控制之流體連接。
在設備400的操作期間,可經由氣流入口460及/或470以供應一或更多處理氣體。在某些實施例中,可僅經由主氣流入口460、或僅經由側氣流入口470而供應處理氣體。在一些情況下,圖中所示之氣流入口可以例如更多錯縱的氣流入口、一或更多噴淋頭取代。法拉第屏蔽449a及/或選用性的柵部450可包含容許處理氣體輸送至處理腔室424的內部通道及孔洞。法拉第屏蔽449a及選用性的柵部450之兩者或任一者可作為用於處理氣體輸送的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於處理腔室424的上游,使得一旦液體反應物或前驅物汽化時,經汽化之該反應物或前驅物係經由氣流入口460及/或470而被導入處理腔室424。
在某些實施例中,可於處理腔室424的上游設置遠程電漿生成單元,並且可經由氣流入口460及/或470將由遠程電漿形成的自由基提供至處理腔室。
射頻功率係自RF電源供應器441供應至線圈433,俾致使RF電流流過線圈433。流過線圈433的RF電流產生線圈433周圍的電磁場。電磁場在上子腔室402內產生感應電流。所產生的各種離子及自由基與晶圓419之物理和化學交互作用蝕刻晶圓419的特徵部、並在晶圓419上選擇性地沉積膜層。
若使用電漿柵450以使得存在上子腔室402及下子腔室403兩者,則感應電流作用於存在上子腔室402中的氣體上,俾在上子腔室402中產生電子-離子電漿。選用性的內部電漿柵450限制下子腔室403中的熱電子數量。在一些實施例中,設計並操作設備400以使存在於下子腔室403中的電漿為離子-離子電漿。
上部的電子-離子電漿與下部的離子-離子電漿兩者皆可含有正及負離子,然而離子-離子電漿會有較大的負離子對正離子比率。可透過埠422將揮發性蝕刻及/或沉積副產物自下子腔室403移除。可在約10°C至約250°C之範圍間或更高的升高溫度下操作本文所揭示之夾頭417。溫度會取決於處理操作及特定配方。
當於無塵室或製造設施中裝設設備400時,可將其耦接至設施(未顯示)。設施包含提供處理氣體、真空、溫度控制、以及環境粒子控制的管路。當該等設施被裝設於標的製造設施中時,其係耦接至設備400。此外,可將設備400耦接至傳送腔室,該傳送腔室容許機械臂利用典型自動化系統將晶圓傳送進出設備400。
在一些實施例中,系統控制器430(其可包含一或更多實體或邏輯控制器)控制處理腔室424的一些或所有的操作。系統控制器430可包含一或更多記憶裝置及一或更多處理器。在一些實施例中,設備400包含用以在執行揭示實施例時控制流動速率及持續時間的切換系統。在一些實施例中,設備400可具有至多約500 ms、或至多約750 ms的切換時間。切換時間可取決於流動化學物、所選的配方、反應器架構、以及其他因素。
在一些實施例中,系統控制器430為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓底座、氣流系統等)。該等系統可與電子裝置整合,用以在半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置整合於系統控制器430中,系統控制器430可控制一或複數系統的各種元件或子部件。依據處理之條件及/或系統之類型,可將系統控制器程式化以控制本文中所揭示之處理的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻(RF)匹配電路設定、頻率設定、流動速率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面介接的其他傳送工具及/或負載閘之晶圓傳送。
廣泛而言,可將系統控制器430定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定處理的操作參數。在一些實施中,該等操作參數可為由製程工程師所定義之配方的部分,該配方係用以在基板之一或更多的膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間,完成一或更多的處理步驟。
在一些實施中,系統控制器430可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。例如,系統控制器530係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前進度、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,俾改變目前處理之參數,以設定處理步驟而接續目前的處理、或開始新的處理。在一些範例中,遠端電腦(如伺服器)可透過網路將處理配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,系統控制器430接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之各者而指定參數。應理解,該等參數可特定於待執行之處理的類型、及工具(控制器係配置成與該工具介面介接或控制該工具)的類型。因此,如上所述,系統控制器430可為分散式的,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文中所敘述之處理及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的處理。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(例如PECVD)腔室或模組、ALD腔室或模組、ALE腔室或模組、離子植入腔室或模組、徑跡腔室或模組、EUV微影腔室(掃描器)或模組、乾式顯影腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據將藉由工具執行之(複數)處理步驟,控制器可與半導體製造工廠中之下列一或更多者進行通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接之工具、鄰近之工具、遍布工廠的工具、主電腦、另一控制器、或材料運輸中所使用之工具,該材料運輸中所使用之工具將晶圓容器輸送往返於工具位置及/或裝載埠。
可利用任何合適工具以實施EUVL圖案化,該工具常被稱為掃描器,例如由Veldhoven, NL的ASML所供應的TWINSCAN NXE: 3300B®平台。EUVL圖案化工具可為獨立裝置,基板被移入其中或自其移出以用於本文所述之沉積與蝕刻。或者,如以下所述,EUVL圖案化工具可為較大的多元件工具上的模組。圖5描繪具有真空整合沉積、EUV圖案化、及乾式顯影/蝕刻模組的半導體處理群集工具架構,其與真空傳送模組介接、並適合執行本文所述處理。雖然可在缺少此類真空整合設備的情況下實施該等處理,但此類設備在一些實施方式中可為有利的。
圖5描繪具有真空整合沉積與圖案化模組的半導體處理群集工具架構,其適合實施本文所述之實施例。此等群集處理工具架構可包括PR及下層沉積模組、光阻曝光(EUV掃描儀)模組、及/或光阻乾式顯影與蝕刻模組,如本文所述。在某些實施例中,可藉由一或更多電腦控制器以編程方式調整處理站的一或更多硬體參數,包括本文所詳細討論的該等參數。
在某些實施例中,某些處理功能可於同一模組中接連地執行,例如光阻膜氣相沉積、處理、曝光、及/或乾式顯影與蝕刻。並且,本揭示內容之實施例涉及用於處理基板之設備,該設備具有包括基板支座的處理腔室、與處理腔室及相關流量控制硬體連接的處理氣體源、熱控制硬體、與處理腔室連接的基板搬運硬體、以及具有處理器及記憶體的控制器。在某些實施例中,處理器及記憶體係彼此通信連接,處理器係至少與流量控制和基板搬運硬體可操作地連接,並且記憶體儲存用於執行本文所述之圖案化結構之製造方法中的操作的電腦可執行指令。
如上所述,圖5描繪具有真空整合沉積與圖案化模組的半導體處理群集工具架構,其與真空傳送模組介接、並適合執行本文所述處理。用以在多儲存設施與處理模組間「傳送」晶圓的傳送模組之配置可稱為「群集工具架構」系統。依據特定處理的需求,沉積及圖案化模組為真空整合。該群集上亦可包含其他模組(例如針對蝕刻)。本文所述之處理步驟可在該等模組之任一或多者中執行、或在專用於此等處理的個別模組中執行。
真空傳送模組(VTM) 538與四個處理模組520a-520d介接,其可個別最佳化以執行各種製造處理。作為範例,可實行處理模組520a至520d以執行沉積、蒸發、熱及/或電漿處理、無電鍍沉積、乾式顯影、蝕刻、剝除、及/或其他半導體處理。例如,模組520a可為ALD反應器,其可對其進行操作以執行非電漿、熱原子層沉積,俾形成本文所述的含金屬光阻或其他材料。在一範例中,模組520a為可自加州費利蒙的蘭姆研究公司取得的Vector®工具。在該等或其他實施例中,模組520b可為電漿輔助化學氣相沉積(PEALD)工具(如Lam Vector®)。應理解,圖式未必按比例繪製。
氣室542與546(亦稱為負載閘或傳送模組)與VTM 538及圖案化模組540介接。例如,如上所述,合適的圖案化模組可為TWINSCAN NXE: 3300B®平台(由Veldhoven, NL的ASML提供)。此工具架構容許工件(如半導體基板或晶圓)在真空下移轉,以不在曝光前反應。將微影工具與沉積模組整合藉由以下事實促成:在環境氣體(如H2 O、O2 等)造成入射光子之強光學吸收性的條件下,EUV微影亦需要大幅降低的壓力。
如上所述,此整合架構僅為用於所述處理之實施方式的工具的一個可能實施例。亦可以更為習知的獨立EUV微影掃描器與沉積反應器(例如Lam Vector工具)作為模組來實施該等處理,無論係獨立或與其他工具(如蝕刻、剝除等(例如Lam Kiyo或Gamma工具))一同整合於群集架構中,例如參照圖5所述(但無整合之圖案化模組)。
氣室542可為「輸出」負載閘,表示將基板自輔助沉積模組520a的VTM 538傳送至圖案化模組540,而氣室546可為「輸入」負載閘,表示將基板自圖案化模組540傳送回VTM 538。為了基板的進入與外出,輸入負載閘546亦可提供至工具外部的接口。每一處理模組具有將模組介接至VTM 538的平面。例如,沉積處理模組520a具有平面536。在每一平面內,感測器(例如所示的感測器1-18)用以偵測當晶圓526在相對應站之間移動時的通過情況。圖案化模組540及氣室542與546可類似地裝配額外的平面與感測器(未顯示)。
主要VTM機器人522在模組(包括氣室542與546)之間傳送晶圓526。在一實施例中,機器人522具有一個臂部,而在另一實施例中,機器人522具有兩個臂部,其中每一臂部具有末端效應器524以拾取輸送用的晶圓(如晶圓526)。前端機器人544用以將晶圓526自輸出氣室542傳送至圖案化模組540中、自圖案化模組540傳送至輸入氣室546。為了基板的進入與外出,前端機器人544亦可在輸入負載閘與工具外部之間輸送晶圓526。由於輸入氣室模組546具有匹配大氣與真空之間環境的能力,故晶圓526能在兩壓力環境之間移動而不受損。
應注意,EUV微影工具通常在比沉積工具更高的真空(例如,更低的壓力)下操作。若為此情況,則期望在沉積工具與EUV微影工具之間傳送的期間增加基板的真空環境(例如,施加較高的真空俾使基板暴露於較低的壓力),以容許基板在進入EUV微影工具之前脫氣。輸出氣室542可藉由將所傳送之晶圓維持在較低壓力(不高於圖案化模組540中的壓力)一段時間並排出任何脫氣而提供此功能,因此圖案化工具540的光學元件不受來自基板的脫氣污染。合適的輸出脫氣氣室壓力不超過約1E-8 Torr。
在一些實施例中,系統控制器550(其可包括一或更多實體或邏輯控制器)控制一些或所有群集工具及/或其單獨模組的操作。上文中針對圖4B而進一步討論例示性系統控制器。應注意,控制器可在集群架構本地、或可位在製造樓層中之集群架構的外部、或位在遠端位置並經由網路連接至集群架構。系統控制器550可包括一或更多記憶裝置與一或更多處理器。處理器可包含中央處理單元(CPU)或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制板、與其他類似元件。在處理器上執行用以執行適當的控制操作之指令。該等指令可在與控制器相關的記憶裝置上儲存,或可透過網路提供該等指令。在某些實施例中,系統控制器執行系統控制軟體。
系統控制軟體可包含用以控制任何工具或模組操作之實施態樣的應用與大小之時序的指令。系統控制軟體可以任何適當的方式配置。例如,可寫入各種處理工具元件子程序或控制物件以控制執行各種處理工具處理所需之處理工具元件的操作。系統控制軟體可以任何合適的計算可讀編程語言編碼。 在一些實施例中,系統控制軟體包含用以控制上述各種參數之輸入/輸出控制(IOC)次序指令。例如,半導體製造處理之每一階段可包含以系統控制器執行的一或更多指令。例如,用以設定凝結、沉積、蒸發、圖案化及/或蝕刻階段之處理條件的指令可包含在相對應的配方階段中。
在各種實施例中,提供用以形成負型圖案遮罩的設備。該設備可包含用於圖案化、沉積及/或蝕刻的一或更多處理腔室、以及包含用於形成負型圖案遮罩之指令的控制器。處理腔室之其中一或多者可配置以執行上述處理步驟之其中一或多者。指令可包含用於下列各者之程式碼:在一或複數相關處理腔室中,藉由乾式沉積、如上所述之處理、EUV曝光以在半導體基板上的金屬氧化物光阻中圖案化特徵部俾使基板表面暴露;對光圖案化的光阻進行乾式顯影;及/或使用圖案化的光阻作為遮罩以蝕刻下伏層或膜層堆疊體。
應注意,控制晶圓運動的電腦可在集群架構本地、或可位在製造樓層中之集群架構的外部、或位在遠端位置並經由網路連接至集群架構。對於圖4B而描述的上述控制器之任一者可與圖5中的工具一同實行。 結論
揭示用於增強含金屬EUV光阻之EUV微影乾式顯影性能的處理策略(例如,塗佈後烘烤、曝光後烘烤、塗佈後遠程電漿處理、及曝光後遠程電漿處理)。
應理解,本文所述範例與實施例僅為說明性目的,並向熟習本技藝者建議各種變更或改變。雖各種細節為清楚之目的予以省略,然可實施各種設計替代例。因此,本範例應視為說明性而非限制性,且本揭示內容不受限於本文所提出之細節,而是可在本揭示內容之範圍中進行變更。
提供以下申請專利範圍以進一步說明本發明的某些實施例。本發明未必受限於該等實施例。
100:方法 101:步驟 103:步驟 201:基板 202a:光阻 202b:光阻 302a:光阻 302b:未曝光部分 302c:經曝光部分 302d:未曝光部分 302e:經曝光部分 400:設備 401:腔室壁 402:上子腔室 403:下子腔室 411:窗部 417:夾頭 419:晶圓 421:匹配電路 422:埠 423: RF電源供應器 424:處理腔室 425:連接部 427:連接部 430:系統控制器 433:線圈 439:匹配電路 440:一或二級機械乾式泵浦及/或渦輪分子泵浦 441: RF電源供應器 443:連接部 445:連接部 449a:法拉第屏蔽 449b:法拉第屏蔽 450:電漿柵 460:氣流入口 470:氣流入口 480:處理腔室 481:基板 482:基板支座 483:入口 484:出口 485:感測器 486:控制器 520a-520d:處理模組 520a:處理模組 520b:處理模組 520c:處理模組 520d:處理模組 522:機器人 524:末端效應器 526:晶圓 530:系統控制器 536:平面 540:圖案化模組 542:氣室 544:前端機器人 546:氣室 550:系統控制器
圖1提供根據各種實施例之處理基板的方法的流程圖。
根據某些實施例,圖2顯示在使用塗佈後處理之若干處理步驟的過程中的基板。
根據某些實施例,圖3顯示在使用曝光後處理之若干處理步驟的過程中的基板。
圖4A顯示可於其中進行某些基於熱之步驟的處理腔室。
圖4B顯示可於其中進行各種步驟的處理腔室,該等步驟包括基於熱之步驟及基於電漿之步驟。
根據本文之某些實施例,圖5繪示具有配置以執行不同操作之許多不同模組的群集工具。
圖6A-6D繪示實驗結果,其顯示可根據本文之某些實施例而實現的改良材料對比度及選擇性。
100:方法
101:步驟
103:步驟

Claims (24)

  1. 一種處理基板之方法,包含: 在一處理腔室中提供該基板,其中該基板為一半導體基板,其包含一基板層及位於該基板層上方的光阻,且其中該光阻包含金屬;以及 對該光阻執行一處理,以改變該光阻的材料特性,俾使得在後續的曝光後乾式顯影處理中的蝕刻選擇性增加。
  2. 如請求項1之處理基板之方法,其中該處理致使該光阻中的交聯作用增加。
  3. 如請求項1之處理基板之方法,其中該處理涉及伴隨溫度、壓力、周圍氣體化學品、氣體流量/比率、及濕度之控制的熱處理。
  4. 如請求項3之處理基板之方法,其中該周圍氣體化學品包含選自由以下者所組成之群組的惰性氣體:氮(N2 )、氦、氖、氬、氙、及其組合。
  5. 如請求項4之處理基板之方法,其中該周圍氣體化學品實質上不含反應性氣體。
  6. 如請求項3之處理基板之方法,其中該周圍氣體化學品包含一反應性氣體物種。
  7. 如請求項6之處理基板之方法,其中該反應性氣體物種係選自由以下者所組成之群組:水、氫(H2 )、氧(O2 )、臭氧、過氧化氫、一氧化碳、二氧化碳、羰基硫、二氧化硫、氯(Cl2 )、氨、氧化亞氮、一氧化氮、甲烷、醇、乙醯丙酮、甲酸、草醯氯、吡啶、羧酸、胺、及其組合。
  8. 如請求項1至7之任一項之處理基板之方法,其中該光阻已被塗佈於該基板層但尚未暴露於圖案化輻射,且該處理為一塗佈後烘烤處理(PAB)。
  9. 如請求項8之處理基板之方法,其中該處理使得該光阻的曝光輻射敏感性增加,從而與未進行該處理之情況下所達到之較高的期望尺寸劑量(dose to size)和較高的線邊緣粗糙度相比,而在該基板暴露於該圖案化輻射時實現較低的期望尺寸劑量(dose to size),並在該基板暴露於該圖案化輻射之後實現較低的線邊緣粗糙度。
  10. 如請求項8之處理基板之方法,其中該處理係在約90至250°C之間或90至190°C之間的溫度下進行。
  11. 如請求項1至7之任一項之處理基板之方法,其中該光阻已透過部分地暴露於圖案化輻射而被圖案化,從而引致該光阻的經曝光與未曝光部分,且該處理為一曝光後烘烤處理(PEB)。
  12. 如請求項11之處理基板之方法,其中該處理係在約170至250°C之間或更高的溫度下進行。
  13. 如請求項12之處理基板之方法,其中藉由該處理而改變該光阻之未曝光與經曝光部分的組成,俾(i) 增加在乾式顯影蝕刻氣體中的蝕刻速率;(ii) 增加該光阻之未曝光與經曝光部分之間的組成差異;及/或(iii) 增加該光阻之未曝光與經曝光部分之間的一或更多材料特性的差異。
  14. 如請求項1至7之任一項之處理基板之方法,其中在對該光阻進行該處理時使該基板的溫度進行變化。
  15. 如請求項1至7之任一項之處理基板之方法,其中將該處理期間的壓力控制在約0.1-760 Torr之間。
  16. 如請求項15之處理基板之方法,其中將該處理期間的壓力控制在約0.1-10 Torr之間。
  17. 如請求項1之處理基板之方法,其中該處理涉及使該光阻暴露於一遠程電漿,該遠程電漿產生自由基,該等自由基與該光阻進行反應以改變該光阻的一或更多材料特性。
  18. 如請求項17之處理基板之方法,其中該等自由基係由一氣體物種所產生,該氣體物種係選自由以下者所組成之群組:水、氫(H2 )、氧(O2 )、臭氧、過氧化氫、一氧化碳、二氧化碳、羰基硫、二氧化硫、氯(Cl2 )、氨、氧化亞氮、一氧化氮、甲烷、醇、乙醯丙酮、甲酸、草醯氯、吡啶、羧酸、胺、及其組合。
  19. 如請求項1至7之任一項之處理基板之方法,其中該處理為使用第一組處理條件及第二組處理條件執行的熱處理,其中該第一組處理條件與該第二組處理條件針對周圍氣體或混合物、溫度、及/或壓力之其中至少一者而有所不同,從而調制該光阻的材料特性和調諧該光阻的蝕刻選擇性。
  20. 如請求項1至7之任一項之處理基板之方法,其中該光阻為對EUV具敏感性的薄膜。
  21. 如請求項1至7之任一項之處理基板之方法,其中該處理係在使該光阻暴露於EUV微影處理之前進行。
  22. 如請求項1至7之任一項之處理基板之方法,其中該處理係在使該光阻暴露於EUV微影處理之後進行。
  23. 如請求項21之處理基板之方法,其中在使該光阻暴露於EUV微影處理之後再次進行該處理。
  24. 一種用於處理基板之設備,包含: 處理腔室,其包含一基板支座; 處理氣體源,其係與該處理腔室及相關的氣體流量控制硬體連接; 基板熱控制設備; 基板搬運硬體,其係與該處理腔室連接;以及 控制器,其具有一處理器,其中該處理器係至少與該氣體流量控制硬體、該基板熱控制設備、及該基板搬運硬體可操作地連接,其中該控制器係配置以引致請求項1-7的方法中之任一或多者。
TW110103944A 2020-02-04 2021-02-03 用以改善含金屬euv光阻的乾式顯影效能的塗佈/曝光後處理 TW202141180A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062970020P 2020-02-04 2020-02-04
US62/970,020 2020-02-04

Publications (1)

Publication Number Publication Date
TW202141180A true TW202141180A (zh) 2021-11-01

Family

ID=77199410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103944A TW202141180A (zh) 2020-02-04 2021-02-03 用以改善含金屬euv光阻的乾式顯影效能的塗佈/曝光後處理

Country Status (7)

Country Link
US (1) US20230031955A1 (zh)
EP (1) EP4100793A4 (zh)
JP (1) JP2023513134A (zh)
KR (1) KR20220137082A (zh)
CN (1) CN115398347A (zh)
TW (1) TW202141180A (zh)
WO (1) WO2021158433A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102431292B1 (ko) 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
JP2023170393A (ja) * 2022-05-19 2023-12-01 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same
US20240053684A1 (en) * 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists
US20240160100A1 (en) * 2022-11-14 2024-05-16 Applied Materials, Inc. Integrated solution with low temperature dry develop for euv photoresist

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100398312B1 (ko) * 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
WO2004095551A1 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
KR102306612B1 (ko) * 2014-01-31 2021-09-29 램 리써치 코포레이션 진공-통합된 하드마스크 프로세스 및 장치
US9921480B2 (en) * 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
CN112020676A (zh) * 2018-05-11 2020-12-01 朗姆研究公司 制造euv可图案化硬掩模的方法
US20220244645A1 (en) * 2019-06-26 2022-08-04 Lam Research Corporation Photoresist development with halide chemistries
TW202113506A (zh) * 2019-06-28 2021-04-01 美商蘭姆研究公司 光阻膜的乾式腔室清潔
EP4038454A4 (en) * 2019-10-02 2023-10-25 Lam Research Corporation SUBSTRATO SURFACE MODIFICATION WITH HIGH EUV ABSORBERS FOR HIGH PERFORMANCE EUV PHOTORESISTS
TW202132621A (zh) * 2019-10-08 2021-09-01 美商蘭姆研究公司 Cvd euv 阻劑膜的正調性顯影
KR102431292B1 (ko) * 2020-01-15 2022-08-09 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층

Also Published As

Publication number Publication date
US20230031955A1 (en) 2023-02-02
JP2023513134A (ja) 2023-03-30
WO2021158433A1 (en) 2021-08-12
KR20220137082A (ko) 2022-10-11
EP4100793A4 (en) 2024-03-13
EP4100793A1 (en) 2022-12-14
CN115398347A (zh) 2022-11-25

Similar Documents

Publication Publication Date Title
US20220244645A1 (en) Photoresist development with halide chemistries
US20220020584A1 (en) Dry development of resists
TW202141180A (zh) 用以改善含金屬euv光阻的乾式顯影效能的塗佈/曝光後處理
US20220344136A1 (en) Dry chamber clean of photoresist films
TW202113146A (zh) 具有多個圖案化輻射吸收成分及/或垂直組成梯度的光阻
US20240036483A1 (en) Process tool for dry removal of photoresist
US20230416606A1 (en) Photoresist development with organic vapor
JP7502545B2 (ja) 照射フォトレジストパターニングのための統合乾式プロセス
JP2023531102A (ja) フォトレジストの乾式裏面及びベベルエッジ洗浄
TWI837391B (zh) 利用鹵化物化學品的光阻顯影
WO2023009336A1 (en) Multi-step post-exposure treatment to improve dry development performance of metal-containing resist
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
TW202407467A (zh) 含金屬光阻的重工
WO2024006938A1 (en) Cyclic development of metal oxide based photoresist for etch stop deterrence
TW202417971A (zh) 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
WO2024076679A1 (en) Dry chamber clean using thermal and plasma processes