KR101774122B1 - 기판들의 세정을 위한 프로세스 가스 생성 - Google Patents

기판들의 세정을 위한 프로세스 가스 생성 Download PDF

Info

Publication number
KR101774122B1
KR101774122B1 KR1020157011733A KR20157011733A KR101774122B1 KR 101774122 B1 KR101774122 B1 KR 101774122B1 KR 1020157011733 A KR1020157011733 A KR 1020157011733A KR 20157011733 A KR20157011733 A KR 20157011733A KR 101774122 B1 KR101774122 B1 KR 101774122B1
Authority
KR
South Korea
Prior art keywords
substrate
cleaning
processing
treatment
oxygen
Prior art date
Application number
KR1020157011733A
Other languages
English (en)
Other versions
KR20150079680A (ko
Inventor
이언 제이 브라운
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150079680A publication Critical patent/KR20150079680A/ko
Application granted granted Critical
Publication of KR101774122B1 publication Critical patent/KR101774122B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

전-처리 시스템 및 습식 세정 시스템을 포함하는 세정 시스템(902, 1004)으로 기판(6, 14, 224, 932)을 세정하기 위한 방법 및 시스템이 제공된다. 전-처리 시스템에 대한 하나 이상의 목적들이 선택되고, UV 선량, 기판 온도, 산소 부분 압력, 산소 및 오존 부분 압력 및/또는 총 압력을 포함하는 2개 이상의 전-처리 동작 변수들은 메트롤로지 측정들을 이용하여, 전-처리 목적들을 충족하도록 최적화된다. 기판(6, 14, 224, 932)은 세정될 층(204, 208) 및 k-값을 갖는 아래 놓이는 유전체 층(212)을 포함한다. 산소 및/또는 오존을 포함하는 전-처리 가스는 기판(6, 14, 224, 932)의 표면 상에 전달되고 UV 디바이스로 조사되어 산소 라디칼들을 생성한다. 전-처리 프로세스에서 기판(6, 14, 224, 932)의 세정은 기판(6, 14, 224, 932)의 k-값의 변화가 기판 애플리케이션에 대한 설정된 범위 내에 있다는 것을 보장하기 위해 100% 미만으로 설정된다.

Description

기판들의 세정을 위한 프로세스 가스 생성{PROCESS GAS GENERATION FOR CLEANING OF SUBSTRATES}
본 출원은 일반적으로 반도체 프로세싱에 관한 것으로서, 구체적으로는 프로세스 가스 및 습식 세정 프로세스를 갖는 전-처리 프로세스(pre-treatment process)를 포함하는 기판 세정 프로세스에 관한 것이다.
고 플루오르화 폴리머들(highly fluorinated polymers)은 로우-k 유전체들에 대한 반응성 이온 에칭(reaction ion etch; RIE) 패터닝 프로세스들에서 생성되며, 여기서 k-값은 2.0-2.6의 범위 내에 있다. 자외선(UV) 전-처리는 호환 가능한 세정 솔벤트들을 이용한 통상적인 백-엔드-오브-라인(back-end-of line; BEOL) 포스트 에칭 프로세싱의 폴리머 제거 능력을 개선하는 것으로 입증되었다. 산소가 있는 상태의 UV 조사는 습식 세정 프로세스 이전에 효과적인 전-처리 프로세스를 위해 이용된다. 저압 범위들을 갖는 산소의 부분 압력들은 효과적인 접근법으로서 보여진다. 저압 수은(Hg) 램프들은 이 프로세스를 수행할 수 있다. 저압(Hg) 램프들은 2개의 지배적인 방출 파장들: 254nm 및 185nm을 갖는다. 185nm 방사는 산소 원자들을 형성하도록 산소를 분해(break-up)하기에 충분한 에너지를 가지며, 이 산소 원자들은 결국 오존을 형성하도록 산소와 반응한다. 254nm 방사선(radiation)은 산소 원자들을 생성하도록 오존에 의해 흡수된다. 그러나 185nm 방사선의 이용은 프로세싱 이후 막의 k-값을 바람직하지 않게 증가시킨다. 과제는, 185nm 방사선이 아래 놓이는(underlying) 로우-k 유전체를 화학적으로 활성화하고 파괴하기에 충분한 에너지를 갖는다는 것이다.
무오존(onzone free) Hg 램프들이 이용 가능하지만(즉, 단지 254nm만), 전-처리 성능은 오존 생성 Hg 램프들(254nm 및 185nm)만큼 양호하지 않다. 몇몇 이전의 세정 시스템들은 엑시머 램프(excimer lamp)들을 이용하는데, 예를 들어, 하나의 엑시머 램프는 190nm 미만의 광을 산소 가스에 지향하여 오존의 생성을 야기하고, 다른 엑시머 램프는 광을 오존 가스에 지향하여 높은 흡수 계수를 갖는 산소 라디칼(oxygen radical)의 생성을 야기한다. 산소 라디칼을 포함하는 가스는 기판의 표면을 따라 이동하여 거기에 있는 유기 물질의 저하를 야기한다. 다른 접근법들은, 배기 펌프에 의해 연속적으로 배기되는 CO, CO2, 및 H2O와 같은 부산물들로 레지스트 또는 유기 물질들을 분해하도록 산화 반응을 구동하기 위한 UV 에너지를 제공하는 엑시머 레이저에 의해 생성될 수 있는 레이저들을 이용한다. 다른 건식 에칭 기법들이 또한 기판을 세정하는데 이용될 수 있지만, 이러한 기법들은 통상적으로 습식 세정 프로세스가 이어진다. 엑시머 램프들, 레이저들의 이용 또는 열 오존 프로세스 생성의 이용은 비싼 장비 및 프로세스들의 이용을 요구한다.
프론트-엔드-오브-라인(front-end-of-line; FEOL) 또는 백-엔드-오브-라인(back-end-of-line; BEOL) 프로세스에서 아래 놓이는 유전체 막에 대한 손상 또는 k-값의 변경을 제어하면서 포스트 에칭 폴리머를 세정할 필요가 있다. 또한, (a) 습식 세정 프로세스가 이어지는 전-처리 프로세스에 대한 감소된 소유 비용 및 (b) 프로세스 가스 및 처리 유체들에 대한 전달 시스템들의 수 및 복잡도를 감소시키는 단순화된 하드웨어 시스템에 대한 요구가 있다.
전-처리 시스템 및 습식 세정 시스템을 포함하는 세정 시스템으로 기판을 세정하기 위한 방법 및 시스템이 제공된다. 전-처리 시스템에 대한 하나 이상의 목적들이 선택되고, UV 선량, 기판 온도, 산소 부분 압력, 산소 및 오존 부분 압력 및/또는 총 압력을 포함하는 2개 이상의 전-처리 동작 변수들은, 메트롤로지 측정들을 이용하여, 전-처리 목적들을 충족하도록 최적화된다. 기판은 세정될 층 및 k-값을 갖는 아래 놓이는 유전체 층을 포함한다. 산소 및/또는 오존을 포함하는 전-처리 가스는 기판의 표면 상에 전달되고 UV 디바이스로 조사되어 산소 라디칼들을 생성한다. 전-처리 프로세스에서 기판의 세정은 기판의 k-값의 변화가 기판 애플리케이션에 대한 설정된 범위 내에 있다는 것을 보장하기 위해 100% 미만으로 설정된다.
도 1a는 배치 에칭 프로세스에서 레지스트 박리의 종래 기술의 방법을 예시하는 구성도이다.
도 1b는 반응 챔버에서 UV 레이저 빔의 2개 이상의 패스들을 이용하여 기판으로부터 포토레지스트(레지스트)를 제거하기 위한 종래 기술의 장치의 도면이다.
도 2는 UV 광 및 습식 세정 프로세스를 이용한 전-처리 프로세스에서 이용되는 로우-k 샘플들의 레지스트 및 폴리머 막의 예시적인 측면도이다.
도 3은 UV 선량 대 기판 온도의 함수로서 기판 세정을 위한 세정 동작 윈도우의 예시적인 그래프를 도시하며, 상기 기판 세정은 UV 광을 이용한 전-처리 프로세스 및 베이스 산소 부분 압력을 이용한 습식 세정 프로세스를 포함한다.
도 4는 UV 선량 대 기판 온도의 함수로서 기판 세정을 위한 세정 동작 윈도우의 예시적인 그래프를 도시하며, 상기 기판 세정은 UV 광을 이용한 전-처리 프로세스 및 베이스 산소 부분 압력보다 높은 산소 부분 압력을 이용한 습식 세정 프로세스를 포함한다.
도 5는 UV 선량 대 기판 온도의 함수로서 기판 세정을 위한 세정 동작 윈도우의 예시적인 그래프를 도시하며, 상기 기판 세정은 UV 광을 이용한 전-처리 프로세스 및 기본 산소 부분 압력보다 낮은 산소 부분 압력을 이용한 습식 세정 프로세스를 포함한다.
도 6a는 산소를 갖는, 기판의 UV 조사 이전에 기판의 예시적인 측면 이미지를 도시한다. 도 6b는 전-처리 프로세스 이후 기판의 예시적인 측면 이미지를 도시한다. 도 6c는 전-처리 프로세스 및 습식 세정 프로세스 이후 기판의 예시적인 측면 이미지이다.
도 7은 본 발명의 실시예에서 UV 광을 이용한 전-처리 프로세스 및 습식 세정 프로세스를 포함하는, 기판을 세정하는 방법에 대한 예시적인 흐름도이다.
도 8은 본 발명의 본 발명의 실시예에서 선택된 세정 동작 변수들을 이용하여 세정 시스템을 제어하는 방법의 예시적인 흐름도이다.
도 9는 UV 소스가 확산 플레이트 위에 배치되는 세정 시스템의 예시적인 도면이며, 상기 확산 플레이트는 전-처리 프로세스 동안 185nm 파장 광을 차단하고 다른 파장 광이 기판을 조사하게 허용하도록 구성되고, 후속 습식 세정 프로세스 동안 UV 광 및 연관된 장비를 보호하도록 구성된다.
도 10은 세정 목적들을 충족하도록 세정 시스템의 동작 변수들을 최적화하기 위한 제어기의 이용을 도시하는 세정 시스템의 예시적인 구성도이다.
도 1a는 배치 에칭 프로세스(batch etch process)에서 종래 기술의 레지스트 박리 방법을 예시하는 구성도이다. 본 발명의 설명을 용이하게 하기 위해, 반도체 기판이 개념의 응용들을 예시하기 위해 활용된다. 방법들 및 프로세스들은 웨이퍼, 디스크, 메모리 등과 같은 다른 워크피스들에 균등하게 적용된다. 유사하게, 수성 황산(aqueous sulfuric acid) 및 과산화수소 혼합물이 본 발명에서 처리액를 예시하는데 활용될 수 있다. 아래에서 언급되는 바와 같이, 다른 처리액들이 대안적으로 이용될 수 있다. 처리액는, 1차, 2차 및 3차 화학물질들, 하나 이상의 프로세스 가스 및 반응 제품들을 포함할 수 있다.
도 1a를 참조하면, 구성도(1)는 배치 에칭 프로세스에서 레지스트 박리와 같은 종래 기술의 표면 처리 방법을 예시하며, 여기서 각각의 화학물질들(에천트들)이 하나 이상의 입력 스트림들(4 및 8)을 이용하여 복수의 기판들(6)이 포지셔닝되는 에칭 프로세싱 챔버(9) 상에 분사된다. 에천트들은 오버플로우 탱크(2) 및 오버플로우 분출구(10)를 이용하여 재사용 또는 재순환되거나 처리(dispose)될 수 있다. 예를 들어, 프로세스 챔버(4)의 측부들 또는 하부 상에 히터들(도시되지 않음)을 가짐으로써 히터들이 제공될 수 있다. 히터들은 외부적이거나 내부적(inline)일 수 있다.
도 1b는 반응 챔버(16)에서 UV 레이저 빔(32)의 2개 이상의 패스(pass)들을 이용하여 기판(14)으로부터 포토레지스트(레지스트)를 제거하기 위한 종래 기술의 장치의 도면이다. 반응 챔버(16)를 포함하는, 레지스트 또는 폴리머와 같은 기판(14)으로부터의 유기 물질의 층을 세정하기 위한 장치(15)가 도시되며, 여기서 O2 또는 O3 및 O2와 같은 프로세스 가스에 대한 공급 도관(20)이 제공된다. O3는 O3 생성기(28)에서 인-시추로 O2 입력으로부터 생성되거나, 또는 UV 레이저 빔(32)을 이용하여 생성될 수 있다. 반응 챔버(16)는 석영 윈도우들(48)을 통한 UV 램프들(36)을 이용하여 O3를 생성하기 위한 수단을 갖는다. 레이저 소스(30)는 포커싱 렌즈(38) 및 투명 윈도우(40)를 통해 UV 레이저 빔(32)을 지향시킨다. 세정될 층을 포함하는 기판(14)은 기판 로더(22)에 의해 로딩되고, 이동의 방향(44)으로 컨베이어(26)에 의해 UV 레이저 빔(32)을 지나 이동되고 기판 언로더(24)에 의해 언로딩된다. 세정 방법은 기판(14)이 세정될 때까지 UV 레이저 빔(32)을 2번 이상 지나게 기판(14)을 이동시킴으로써 행해진다. 배기 프로세스 가스는 배기 화살표(37)에 의해 표시된 바와 같이 배기 펌프(34)에 의해 배기 도관(18)을 통해 연속적으로 펌핑된다.
도 2는 UV 광 및 프로세스 가스를 이용한 전-처리 프로세스에 이은 습식 세정 프로세스를 이용하여 기판(224)을 세정하는데 있어 이용되는 로우-k 샘플의 층들의 예시적인 도면(200)이다. 기판(224)은 실리콘 층(216), 어드밴스드 로우 k(advanced low k; ALK) 유전체 막(212)을 포함하며, 여기서 k-값은 2.0 내지 2.2 범위에 있다. k-값의 다른 범위들이 또한 이용될 수 있다. ALK 유전체 막(212) 위에 포토레지스트(208)가 있다. 최상부 컨포멀 층은 60 내지 70nm 범위의 폴리머 막(204)이다. 기판의 세정은 결합된 전-처리 프로세스 및 습식 에칭 프로세스에 의한 폴리머 막(204) 및 포토레지스트(208)의 제거를 포함한다.
도 3은 UV 선량 대 기판 온도의 함수로서 기판 세정을 위한 세정 동작 윈도우(308)의 예시적인 그래프를 도시하며, 기판 세정은 UV 광을 이용한 전-처리 프로세스 및 기본(base) 산소 부분 압력을 이용한 습식 세정 프로세스를 포함한다. 세정 동작 윈도우(308)는 세정 프로세스의 하나 이상의 목적들이 달성되고, 산소 부분 압력이 기본 산소 부분 압력에서 일정하게 유지되는 동작 변수들의 범위로서 정의된다. 기본 산소 부분 압력은 이력적 또는 시뮬레이션 데이터에 기초하여 기판 애플리케이션에 대해 선택된다. 세정 동작 윈도우(308)는 점선(316)과 실선(320) 간의 영역이며 기판이 아래 놓이는 유전체에 대한 어떠한 손상도 없이 세정되는, 기판 온도와 UV 선량의 지점들을 표현한다. 그래프의 최하부까지 실선(320)에 의해 접경되는 영역(312)은 기판이 세정 프로세스에 의해 제거되지 않는 잔류 폴리머를 갖는, 기판 온도와 UV 선량의 지점들을 표현한다. 점선(316)과 접경되고 최상부(up)까지의 영역(304)은 기판이 세정되지만, 아래 놓이는 유전체가 손상되거나 k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는, 기판 온도와 UV 선량의 지점들을 표현한다. 점선 동향 화살표들(322)은, 유전체의 k-값이 떨어질 때, 영역(304)은 팽창하기 쉬운데, 즉 기판들이 세정되지만 손상되는 인스턴스가 더 많다는 것을 표시한다. 실선 동향 화살표들(328)은 에칭 후 폴리머 두께가 더 두꺼우면, 세정 프로세스에 의해 제거되지 않은 잔류 폴리머의 더 많은 인스턴스가 있다는 것을 표시한다.
도 4는 UV 선량 대 기판 온도의 함수로서 기판 세정을 위한 세정 동작 윈도우(408)의 예시적인 그래프를 도시하며, 기판 세정은 UV 광을 이용한 전-처리 프로세스 및 기본 산소 부분 압력 보다 더 높은 산소 부분 압력을 이용한 습식 세정 프로세스를 포함한다. 위에서 언급된 바와 같이, 세정 동작 윈도우(408)는 세정 프로세스의 하나 이상의 목적들이 달성되고 산소 부분 압력이 도 3의 기본 산소 부분 압력보다 더 높은 값에서 일정하게 유지되는 동작 변수들의 범위로서 정의된다. 기본 산소 부분 압력은 이력적 또는 시뮬레이션 데이터에 기초하여 기판 애플리케이션에 대해 선택된다. 세정 동작 윈도우(408)는 짧은 점선(416)과 길고 짧은 점선(420) 간의 영역이며, 기판이 아래 놓이는 유전체에 대한 어떠한 손상도 없이 세정되는, 기판 온도와 UV 선량의 지점들을 표현한다. 그래프의 최하부까지 길고 짧은 점선(420)에 의해 접경되는 영역(412)은, 기판이 세정 프로세스에 의해 제거되지 않은 잔류 폴리머를 갖는, 기판 온도와 UV 선량의 지점들을 표현한다. 짧은 점선(416)에 의해 접경되고 최상부까지의 영역(404)은 기판이 세정되지만 아래 놓이는 유전체가 손상되거나, k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는, 기판 온도와 UV 선량의 지점들을 표현한다. 점선 동향 화살표(422)는 유전체의 k-값이 떨어질 때, 영역(404)은 팽창하는데, 즉 세정되지만 손상된 기판들의 인스턴스들이 더 많다는 것을 표시한다. 실선 동향 화살표들(428)은, 에칭 후 폴리머 두께가 더 두꺼우면, 세정 프로세스에 의해 제거되지 않은 잔류 폴리머의 더 많은 인스턴스가 있다는 것을 표시한다. 아래 놓이는 유전체가 손상되거나 k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는 도 4의 영역(404)은 도 3의 유사한 영역(304)보다 훨씬 더 작은 영역이라는 것이 주의되어야 한다. 대조적으로, 아래 놓이는 유전체가 손상되거나 기판이 세정 프로세스에 의해 제거되지 않은 잔류 폴리머를 가지며 k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는 도 4의 영역(412)은 도 3의 유사한 영역(312)보다 훨씬 더 큰 영역이다.
도 5는 UV 선량 대 기판 온도의 함수로서 기판 세정을 위한 세정 동작 윈도우(508)의 예시적인 그래프를 도시하며, 기판 세정은 UV 광을 이용한 전-처리 프로세스 및 도 3의 기본 산소 부분 압력 보다 더 낮은 산소 부분 압력을 이용한 습식 세정 프로세스를 포함한다. 위에서 언급된 바와 같이, 동작 윈도우는 세정 프로세스의 하나 이상의 목적들이 달성되고 산소 부분 압력이 도 3의 기본 산소 부분 압력보다 더 높은 값에서 일정하게 유지되는 동작 변수들의 범위로서 정의된다. 기본 산소 부분 압력은 이력적 또는 시뮬레이션 데이터에 기초하여 기판 애플리케이션에 대해 선택된다. 세정 동작 윈도우(508)는 실선(516)과 긴 점선(520) 간의 영역이며, 기판이 아래 놓이는 유전체에 대한 어떠한 손상도 없이 세정되는, 기판 온도와 UV 선량의 지점들을 표현한다. 그래프의 최하부까지 긴 점선(520)에 의해 접경되는 영역(512)은, 기판이 세정 프로세스에 의해 제거되지 않은 잔류 폴리머를 갖는, 기판 온도와 UV 선량의 지점들을 표현한다. 실선(516)에 의해 접경되고 최상부까지의 영역(504)은 기판이 세정되지만 아래 놓이는 유전체가 손상되거나, k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는, 기판 온도와 UV 선량의 지점들을 표현한다. 점선 동향 화살표(522)는 유전체의 k-값이 떨어질 때, 영역(504)은 팽창하는데, 즉 세정되지만 손상되는 기판들의 인스턴스들이 더 많다는 것을 표시한다. 실선 동향 화살표들(528)은, 에칭 후 폴리머 두께가 더 두꺼우면, 세정 프로세스에 의해 제거되지 않은 잔류 폴리머의 더 많은 인스턴스가 있다는 것을 표시한다. 아래 놓이는 유전체가 손상되거나 k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는 도 4의 영역(504)은 도 3의 유사한 영역(304)보다 훨씬 더 큰 영역이라는 것이 주의되어야 한다. 대조적으로, 아래 놓이는 유전체가 손상되거나 기판이 세정 프로세스에 의해 제거되지 않은 잔류 폴리머를 가지며 k-값의 변화가 수용 가능한 k-값 변화들의 범위를 초과하는 도 5의 영역(512)은 도 3의 유사한 영역(312)보다 훨씬 더 작은 영역이다.
도 3, 4 및 5는 세정 동작 윈도우들(308, 408 및 508)이 적어도 기판 온도, 전-처리 프로세스에서의 UV 선량, 산소 부분 압력, 총 압력 및 이용된 프로세스 가스에 상관된다는 것을 강조한다. 아래 놓이는 유전체에 대한 손상을 야기하거나 불완전한 폴리머 세정을 야기하는 동작 변수들의 범위는, 이들 동작 변수들이 변경될 때 변경된다. k-값의 변화는 기판 애플리케이션에 대해 선택되는 임계 파라미터이다. k-값은 흡수 계수(extinction coefficient)이며, 아래 놓이는 유전체 층의 입사 전계의 진동 폭(oscillation amplitude)의 감쇠(decay) 또는 제동(damping)에 관련된다. 아래 놓이는 유전체 층의 층의 흡수 계수(k)(k-값)는 기판 온도와 이용된 전-처리 프로세스 가스의 함수이다. UV 광이 이용되지 않을 때, 즉 어떠한 전-처리 프로세스도 없을 때, 아래 놓이는 유전체의 k-값은 k-값의 변화를 측정하기 위한 기본 값으로서 이용될 수 있다. 아래 놓이는 유전체 층의 k-값은 산소 가스 부분 압력의 함수이며 반사계들 또는 엘립소미터들과 같은 광학 메트롤로지 디바이스로 측정될 수 있다. 반사계 또는 엘립소미터 측정들로부터 k-값을 추출하기 위한 방법들 및 기법들은 당 분야에 잘 알려져 있다. 기판의 층의 k-값의 변화는 기판 온도, 이용된 프로세스 가스, 산소 부분 압력, 총 프로세스 가스 압력 및 UV 선량에 공동으로 상관된다. 따라서, 이들 세정 동작 변수들은 세정을 수행하고 k-값 타겟의 수용 가능한 변화를 충족하도록 제어될 필요가 또한 있다.
도 6a는 테스트에 있어서 기판의 UV 조사 이전에 기판의 예시적인 측면 이미지(600)를 도시한다. 포스트-에칭 프로세스 이후 그리고 전-처리 UV 조사 프로세스 및 후속 습식 세정 프로세스를 포함하는 2-단계 세정 방법 이전에 반복 구조의 측면 이미지(600)가 있다. 반복 구조(604)는 폭들(608) 및 높이(612)에 의해 특징화된다. 도 6b는 전-처리 UV 조사 이후 기판의 예시적인 측면 이미지(630)를 도시한다. 기판 층의 세정은 더 좁은 폭(638) 및 더 높은 높이(642)에 의해 입증되는 바와 같이 시작한다. 도 6c는 전-처리 UV 프로세스 및 후속 습식 세정 프로세스가 완료된 이후 기판의 예시적인 측면 이미지(660)이다. 포스트 에칭 기판의 세정은, 폴리머 및 레지스트 층들(도 2의 층들(204 및 208))의 제거에 의해 입증되는 바와 같이 실질적으로 완료되고, 타겟 폭(672) 및 타겟 높이(668)와 같은 타겟 임계 치수들이 달성된다.
습식 세정 프로세스의 유일한 용도는 한결같이, 폴리머를 완전하게 세정하는 것은 아니란 것이 당 분야에 알려져 있다. 습식 세정 프로세스와 커플링되는 UV 광을 이용한 전-처리 프로세스는 힘든(challenging) 포스트 에칭 폴리머를 제거하기 위해 세정 화학물질의 동작 윈도우를 증가시키는 것으로 판명되었다. 백-엔드-오브-라인에서 잔류물들이 보다 플루오르화된 잔류물을 포함하기 때문에, 습식 화학물질만으로 이 잔류물을 제거하는 것은 보다 어렵다. 몇 개의 기술적 동향들은 UV 전-처리의 전위 값을 증가시킨다. 우선, 막 증착 및 경화에서의 증가된 다공성 및 변화들과 더불어 막의 더 낮은 k-값으로 인해, 전-처리 UV 조사의 이용은 막을 세정 화학물질에 더 민감하게 한다. 특히, 반응성 이온 에칭(reactive ion etching; RIE)의 경우, 유기 잔류물들의 초-로우 k(ultra-low k; ULK) 물질들 및 스케일링으로 인한 프로세스 발현은 포스트 에칭 세정 화학물질의 값비싸고 시간 소모적인 재처방(reformulation)을 요구하는 포스트 에칭 폴리머 합성 변화들을 야기한다. 이 시간 소모적인 재처방은 본 명세서에서 설명되는 2 단계 방법을 이용하여 방지될 수 있다. 본 발명자는, UV 광 및 프로세스 가스를 이용한 전-처리 프로세스는 폴리머의 100% 세정을 완전히 수행할 수 있다는 것을 발견하였다. 본 발명에서, 전-처리 프로세스를 통한 세정의 퍼센티지는, 아래 놓이는 유전체의 k-값의 변화를 최소화하거나 기판 애플리케이션에 대한 수용 가능한 범위 내에서 k-값 변화를 유지하기 위해 100퍼센트 미만으로 의도적으로 설정된다. 전-처리 프로세스의 목적은 폴리머 층을 완전히 제거하는 것이 아니라, 아래 놓이는 유전체에 대한 손상을 제거하면서 습식 세정 프로세스를 통한 제거를 더 쉽게 하도록 포스트 에칭 폴리머를 화학적으로 변형하는 것이다. 전-처리 프로세스에서 2개 이상의 동작 변수들의 최적화는 후속 습식 세정 프로세스에 의한 기판의 세정의 보다 일관된 완료를 허용한다.
도 7은 본 발명의 실시예에서 UV 광을 이용한 전-처리 프로세스 및 습식 세정 프로세스를 이용하여 기판을 세정하는 방법에 대한 예시적인 흐름도(700)이다. 동작(704)에서, 하나 이상의 전-처리 목적들이 세정 시스템의 전-처리 시스템에 대해 선택된다. 전-처리 목적들의 예들은 전-처리 세정 퍼센트, 전-처리 제 1 프로세스 시간, 총 소유비용, k-값의 변화 등을 포함한다. 전-처리 세정 퍼센티지는 50 내지 99%의 범위에 있을 수 있고, 제 1 프로세스 시간은 120초일 수 있고, k-값의 변화는 0.2 또는 그 미만일 수 있다. 동작(708)에서, 2개 이상의 전-처리 동작 변수들이 선택되고 2개 이상의 전-처리 목적들을 달성하는 방향으로 최적화된다. 선택된 2개 이상의 전-처리 동작 변수들은 UV 선량, 기판 온도, 전-처리 세정 퍼센티지, 산소 부분 압력, 산소와 오존 부분 압력, 제 1 프로세스 시간, 총 프로세스 가스 압력 중 2개 이상을 포함할 수 있다. UV 선량은 0.1 내지 20.0 J/cm2의 범위에 있을 수 있고, 산소 부분 압력은 15 내지 159 토르일 수 있고, 총 프로세스 가스 압력은 80 내지 760 토르일 수 있고, 기판 온도는 25 내지 150℃일 수 있고 아래 놓이는 유전체의 k-값은 2.0 내지 2.6일 수 있다.
동작(712)에서, 세정될 층 및 아래 놓이는 유전체 층을 갖는 기판이 프로세싱을 위해 제공되며, 아래 놓이는 유전체 층은 k-값을 갖는다. 동작(716)에서, 전-처리 프로세스 가스는 가스 전달 시스템을 이용하여 세정 시스템의 프로세싱 챔버에서 기판의 표면 상으로 전달된다. 프로세스 가스는 산소, 또는 오존 대 산소의 특정한 비로 오존 및 산소를 포함할 수 있다. 대안적으로, 프로세스 가스는 필터링된 공기 또는 깨끗한 건조 공기(clean dry air; CDA)일 수 있다. 동작(720)에서, 프로세스 가스는 기판의 전-처리를 위한 라디칼들(radicals)을 생성하기 위해 UV 디바이스로 조사되며, 이 조사는 전-처리 제 1 프로세스 시간 동안 완료되고, UV 디바이스는 하나 이상의 파장들 및 UV 선량을 갖는다. 동작(724)에서, 선택된 2개 이상의 전-처리 변수들은 하나 이상의 전-처리 목적들을 충족하기 위해 전-처리 시스템에서의 선택된 2개 이상의 메트롤로지 측정들을 이용하여 제어된다. 동작(728)에서, 습식 세정 프로세스는 습식 세정 시스템을 이용하여 기판 상에서 수행된다. 습식 세정 시스템은 황산 및 과산화수소(SPM), 오존을 갖는 SPM(SPOMJ), 인산 및 증기, 수산화 암모늄 및 과산화수소, 희석된 플루오르화 수소산(dilute hydrofluoric acid; DHF), 탈이온수 및 오존, 디메틸 술폭시드(dimethyl sulfoxide) 및 모노에탄올 아민(DMSO/MEA), 또는 다른 습식 세정 화학물질들을 포함하는 다양한 화학물질들을 이용할 수 있다.
도 8은 본 발명의 실시예에서 선택된 세정 동작 변수들을 이용하여 세정 시스템을 제어하는 방법의 예시적인 흐름도(800)이다. 동작(804)에서, 하나 이상의 전-처리 목적들의 값을 계산하기 위한 측정들이 획득된다. 측정들은 세정 진행을 검사하기 위해, 포스트 에칭 폴리머 제거의 퍼센티지를 검사하기 위해, 경과된 제 1 프로세스 시간을 검사하기 위해, 프로세스 가스의 합성, UV 선량 또는 기판의 회전 속도를 검사하기 위해 전-처리 프로세스 동안 기판들의 최상부 광경 이미지들을 획득하는 것을 포함할 수 있다. 동작(808)에서, 하나 이상의 전-처리 목적들의 계산된 값들이 설정된 하나 이상의 전-처리 목적들에 비교된다. 하나 이상의 전-처리 목적들의 값들의 계산은, k-값의 변화, 전-처리 프로세스에서 세정의 퍼센티지 또는 기판들의 프로젝팅된 유닛 쓰루풋에 기초한 소유 비용을 계산하는 것을 포함할 수 있다. 동작(812)에서, 하나 이상의 목적들이 충족되지 않는 경우, 둘 이상의 선택된 동작 변수들은 하나 이상의 전-처리 목적들이 충족될 때까지 조정된다. 예를 들어, UV 선량은 전-처리 프로세스에서 세정의 퍼센티지를 증가 또는 감소시키도록 조정될 수 있다. 기판 온도, 산소 및/또는 오존의 유량 또는 산소 및/또는 오존의 부분 압력은 프로세스 가스의 산소 라디칼 또는 원자 산소 또는 오존 대 산소의 비를 증가시키도록 조정될 수 있다. 제 1 프로세스 시간은 세정의 더 높은 퍼센티지를 보장하기 위해 연장되거나 k-값의 변화를 최소화하기 위해 단축될 수 있다.
도 9는 UV 소스(904)가 확산 플레이트(924) 위에 배치되는 세정 시스템(902)의 예시적인 도면(900)이며, 확산 플레이트(924)는 전-처리 프로세스 동안 기판(932)을 조사하고 후속 습식 세정 프로세스 동안 UV 소스(904) 및 연관된 장비를 보호하기 위해 185nm 파장 광을 차단하도록 구성된다. 프로세스 가스(912)는 산소 및/또는 질소를 포함할 수 있다. 대안적으로, 프로세스 가스는 산소 및/또는 질소 및/또는 오존을 포함할 수 있다. 다른 실시예에서, 팬 필터 유닛(fan filter unit; FFU) 공기 또는 CDA(920)는 전-처리 프로세스 동안 프로세스 가스로서 프로세스 챔버(916)내에 도입될 수 있다. 습식 세정 프로세스 동안, 처리액(944)이 전달 디바이스(936)에 의해 기판(932) 상에서 프로세스 챔버(916)로 전달되며, 여기서 처리액(944) 및 프로세스 가스(912 또는 920)는 배기 유닛들(940, 928)을 통해 제거된다. 기판 세정 시스템에 대한 시스템 하드웨어는 UV 챔버에 공급되는 산소 가스를 함유하는 외부 산소 또는 오존에 대한 어떠한 요건도 없기 때문에 단순화된다. 표준 공기를 통한 프로세싱은 전-처리 프로세스가 작동하기 위해 충분한 오존 및 산소 원자들을 생성하는 능력을 보여주었다. 가스 라인들을 전달(carry)하는 산소 또는 오존을 공급하는 것은 연관된 하드웨어 설계 안전 요건들로 인해 툴 비용을 증가시킨다. 발명자는 상당히 더 짧은 UV 노출 시간들이 습식 세정 프로세스에 앞서 UV 및 프로세스 가스를 이용한 결합된 전-처리 프로세스에 의해 실현될 수 있다는 것을 밝혀냈다. 또한, 발명자는 또한 습식 세정 프로세스 시간을 단축할 수도 있었다. 또한 인-시추 프로세스 가스의 생성은 또한 기판 세정 시스템의 설계에서 이용되는 UV 소스들의 수를 감소시킨다. 예를 들어, 도 9의 모든 UV 하드웨어가 기판의 세정에 직접적으로, 궁극적으로는 원자 산소의 생성에 기여한다.
도 9를 참조하면, 본 발명의 실시예는 254nm 전용 방사선을 통한 조사 하에 있는 동안 기판 프로세싱 챔버에 공급되는 200nm 미만의 파장들을 갖는 진공 UV(VUV) 소스들(<200nm), 코로나 방전 또는 UV 소스에 의해 생성되는 오존의 간접 소스를 포함한다. 오존에 의한 방사선의 흡수는 기판들의 무손상 세정을 가능하게 하는, 기판 표면의 오존 원자들의 형성을 개시한다. 대안적으로, 다른 실시예에서, 기판은 UV를 방출하는 오존으로 조사되며, 여기서 185nm 흡수 필터는 185nm를 갖는 직접 및 간접 조명을 방지하지만, 오존이 기판 표면에 도달하는 확산 경로를 허용하는 지오메트리를 갖는 기판 간에 배치된다. 프로세스 가스의 대량 이송은 200nm 미만의 파장을 흡수하는 가스 확산 플레이트를 통해 산소 충전 대기를 유동시킴으로써 강화될 수 있다.
도 10은 하나 이상의 전-처리 목적들을 충족하는 방향으로 세정 시스템(1004)의 동작 변수를 최적화하기 위한 제어기(1090)의 이용을 도시하는 세정 시스템(1004)의 예시적인 구성도(1000)이다. 세정 시스템(1004)은 2개 이상의 광학 메트롤로지 디바이스들(1008)을 이용할 수 있다. 광학 방출 분광학(optical emission spectroscopy; OES) 디바이스(1070)는 프로세싱 영역(1015)으로부터 광학 방출을 측정하기 위한 위치에서 프로세싱 챔버(1010)에 커플링될 수 있다. 또한, 광학 메트롤로지 디바이스들(1060)의 다른 세트가 프로세싱 챔버(1010) 위에 배치될 수 있다. 4개의 광학 메트롤로지 디바이스들이 도시되지만, 광학 메트롤로지 디바이스들의 다수의 다른 대안적이고 상이한 구성들이 복수의 광학 메트롤로지 디바이스들을 이용한 설계 목적들을 구현하기 위해 포지셔닝될 수 있다. 4개의 광학 메트롤로지 디바이스들은 분광 반사율계 디바이스들(spectroscopic reflectometric devices) 및/또는 간섭계 디바이스들일 수 있다. 2개 이상의 광학 메트롤로지 디바이스들, 예를 들어, OES 디바이스(1070) 및 광학 메트롤로지 디바이스들(1060)의 세트로부터의 측정들은 하나 이상의 임계 치수 값들이 추출되는 메트롤로지 프로세서(도시되지 않음)로 전송된다. 측정들은 하나 이상의 광학 메트롤로지 디바이스 OES(1070) 및/또는 광학 메트롤로지 디바이스들(1060)의 세트 및 하나 이상의 에칭 센서 디바이스들(1064 및 1068)로 수행될 수 있다.
위에서 언급된 바와 같기, 프로세스 센서 디바이스는 예를 들어, 남아있는 잔류물의 퍼센티지를 측정하거나 잔류물 제거의 퍼센티지에 대한 실질적인 상관성을 갖는 세정 동작 변수를 측정하는 잔류물 센서 디바이스(1064)일 수 있다. 다른 프로세스 센서 디바이스는 산소의 부분 압력 또는 산소 및 오존 부분 압력들 또는 프로세스 가스 총 압력들을 측정하는 디바이스를 포함할 수 있다. 적어도 하나 이상의 프로세스 센서 디바이스들의 선택은 프로세스 데이터, 메트롤로지 데이터(회절 신호들), 및 프로세스 성능 데이터의 세트들을 이용한 다변량 분석(multivariate analysis)을 이용하여 이들 상호 관계들을 식별하도록 행해질 수 있다. 2개 이상의 광학 메트롤로지 디바이스들, 예를 들어, OES 디바이스(1070) 및 광학 메트롤로지 디바이스들(1060)의 세트로부터의 측정들 및 센서 디바이스(1064 및/또는 1068)로부터의 측정은 동작 변수 값들이 추출되는 메트롤로지 프로세서(도시되지 않음)에 전송된다.
도 10을 계속 참조하여, 세정 시스템(1004)은 복수의 광학 메트롤로지 디바이스들(1060), 광학 방출 분광학(OES) 디바이스(1070) 및 하나 이상의 에칭 센서 디바이스들(1064 및 1068)을 포함하는 2개 이상의 광학 메트롤로지 측정 디바이스들(1009)에서 서브-제어기들에 커플링되는 제어기(1090)를 포함한다. 하나 이상의 화학반응 모니터들(1092)은 프로세스 가스가 범위 세트 내에 있다는 것을 보장하기 위해 프로세싱 챔버에 커플링될 수 있다. 다른 서브-제어기(1094)가 제어기(1090)에 커플링되는 이동 제어 시스템(1020)에 포함될 수 있고 단일 기판 툴에 대한 이동 제어 시스템의 회전의 제 1 및 제 2 속도를 조정할 수 있다. 제어기(1090)는 세정 동작 변수들을 최적화하기 위해 그래고 하나 이상의 전-처리 목적들을 달성하기 위해 인트라넷에 연결되거나 인터넷을 통해 다른 제어기들에 연결될 수 있다.
본 발명의 특정한 실시예들만이 위에서 상세히 설명되었지만, 당업자들은, 본 발명의 신규한 교시들 및 이점들로부터 실질적으로 벗어남 없이 다수의 변형들이 실시예들에서 가능하다는 것을 쉽게 인지할 것이다. 예를 들어, 하나의 예시적인 프로세스 흐름이 기판들의 세정을 위해 제공되지만, 다른 프로세스 흐름들이 고려된다. 또한 위에서 언급된 바와 같이, 본 발명의 세정 방법 및 시스템은 FEOL 또는 BEOL 제조 클러스터에서 이용될 수 있다. 이에 따라, 모든 이러한 변형들은 본 발명의 범위 내에 포함되도록 의도된다.

Claims (33)

  1. 전-처리 시스템(pre-treatment system) 및 습식 세정 시스템(wet clean system)을 포함하는 세정 시스템에서 기판을 세정하기 위한 방법으로서, 상기 전-처리 시스템은 프로세싱 챔버 및 가스 전달 서브-시스템을 포함하고, 상기 방법은 전-처리 프로세스를 포함하며, 상기 전-처리 프로세스는,
    상기 전-처리 시스템에 대한 2개 이상의 목적들을 선택하는 단계;
    상기 2개 이상의 전-처리 목적들의 달성을 위해 최적화되도록 2개 이상의 전-처리 동작 변수들을 선택하는 단계;
    세정될 층 및 k-값을 갖는 아래 놓이는 유전체 층(underlying dielectric layer)을 갖는 기판을 제공하는 단계;
    상기 가스 전달 서브-시스템을 이용하여 상기 프로세싱 챔버에서 상기 기판의 표면 상에 전-처리 가스를 전달하는 단계;
    자외선(ultra-violet; UV) 디바이스로 상기 전-처리 가스를 조사하고 상기 기판의 전-처리를 위한 라디칼(radical)들을 생성하는 단계로서, 상기 조사는 전-처리 제 1 프로세스 시간 동안 완료되고, 상기 UV 디바이스는 파장들의 하나 이상의 범위들 및 UV 선량(dose) d를 갖는 것인, 상기 생성하는 단계; 및
    상기 전-처리 시스템에서의 획득된 하나 이상의 메트롤로지 측정들(metrology measurements)을 이용하여, 선택된 상기 2개 이상의 전-처리 동작 변수들을 제어하는 단계를 포함하고,
    상기 전-처리 가스는 산소 또는 산소 및 오존을 포함하고,
    상기 2개 이상의 전-처리 동작 변수들은, UV 선량, 기판 온도, 제 1 프로세스 시간, 산소 부분 압력, 산소와 오존 부분 압력, 총 프로세스 가스 압력 중 2개 이상을 포함하고,
    상기 2개 이상의 전-처리 목적들은, 100퍼센트 미만의 전-처리 세정 퍼센티지, 전-처리 시스템 및 습식 세정 시스템에 대한 타겟 총 소유 비용(target total cost of ownership), 상기 아래 놓이는 유전체 층의 k-값의 타겟 변화 중 2개 이상을 포함하고,
    상기 2개 이상의 전-처리 동작 변수들은 기판 온도를 포함하고,
    상기 아래 놓이는 유전체 층의 k-값은 2.0 내지 2.6의 범위에 있고, 상기 기판 온도는 25 내지 150℃의 범위에 있는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 전-처리 세정 퍼센티지는 50 내지 99 퍼센트의 범위에 있는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 전-처리 제 1 프로세스 시간은 120초 미만인 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 전-처리 세정 퍼센티지는 50 내지 99 퍼센트의 범위에 있고, 상기 전-처리 조사의 지속기간은 120초 미만인 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 2개 이상의 전-처리 목적들은 상기 전-처리 시스템 및 상기 습식 세정 시스템의 타겟 총 소유 비용, 상기 제 1 프로세스 시간 및 상기 아래 놓이는 유전체 층의 k-값의 변화를 포함하는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  7. 전-처리 시스템(pre-treatment system) 및 습식 세정 시스템(wet clean system)을 포함하는 세정 시스템에서 기판을 세정하기 위한 방법으로서, 상기 전-처리 시스템은 프로세싱 챔버 및 가스 전달 서브-시스템을 포함하고, 상기 방법은 전-처리 프로세스를 포함하며, 상기 전-처리 프로세스는,
    상기 전-처리 시스템에 대한 2개 이상의 목적들을 선택하는 단계;
    상기 2개 이상의 전-처리 목적들의 달성을 위해 최적화되도록 2개 이상의 전-처리 동작 변수들을 선택하는 단계;
    세정될 층 및 k-값을 갖는 아래 놓이는 유전체 층(underlying dielectric layer)을 갖는 기판을 제공하는 단계;
    상기 가스 전달 서브-시스템을 이용하여 상기 프로세싱 챔버에서 상기 기판의 표면 상에 전-처리 가스를 전달하는 단계;
    자외선(ultra-violet; UV) 디바이스로 상기 전-처리 가스를 조사하고 상기 기판의 전-처리를 위한 라디칼(radical)들을 생성하는 단계로서, 상기 조사는 전-처리 제 1 프로세스 시간 동안 완료되고, 상기 UV 디바이스는 파장들의 하나 이상의 범위들 및 UV 선량(dose) d를 갖는 것인, 상기 생성하는 단계; 및
    상기 전-처리 시스템에서의 획득된 하나 이상의 메트롤로지 측정들(metrology measurements)을 이용하여, 선택된 상기 2개 이상의 전-처리 동작 변수들을 제어하는 단계를 포함하고,
    상기 전-처리 가스는 산소 또는 산소 및 오존을 포함하고,
    상기 2개 이상의 전-처리 동작 변수들은, UV 선량, 기판 온도, 제 1 프로세스 시간, 산소 부분 압력, 산소와 오존 부분 압력, 총 프로세스 가스 압력 중 2개 이상을 포함하고,
    상기 2개 이상의 전-처리 목적들은 100퍼센트 미만의 전-처리 세정 퍼센티지를 포함하고,
    상기 2개 이상의 전-처리 동작 변수들은 기판 온도를 포함하고,
    상기 2개 이상의 전-처리 목적들은 상기 전-처리 시스템 및 상기 습식 세정 시스템의 타겟 총 소유 비용, 상기 제 1 프로세스 시간 및 상기 아래 놓이는 유전체 층의 k-값의 변화를 포함하고,
    결합된 전-처리 시스템 및 습식 세정 시스템에 대한 상기 타겟 총 소유 비용은 습식 세정 시스템만을 이용하여 상기 기판을 세정하는 비용 미만이고,
    상기 제 1 프로세스 시간은 120초 미만이고,
    상기 아래 놓이는 유전체 층의 k-값의 변화는 0.2 이하인 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 전-처리 가스를 전달하는 단계는 공기로부터 생성되는 오존 및 산소 원자들 또는 오존의 간접 소스를 갖는 산소를 활용하고, 상기 오존은 진공 UV 소스들 또는 코로나 방전(corona discharge)에 의해 생성되는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 오존은 상기 기판이 254nm 전용 방사선을 이용한 조사 하에 있는 동안 상기 프로세싱 챔버로 공급되는 200nm 미만의 파장을 갖는 UV 소스에 의해 생성되는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  10. 제 1 항에 있어서,
    상기 UV 디바이스는 하나 이상의 저 압력 Hg 램프들인 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 UV 디바이스는 185nm의 제 1 지배광 파장(dominant light wavelength) 및 254nm의 제 2 지배광 파장을 포함하는 2개의 광 파장 범위들을 갖는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  12. 제 11 항에 있어서,
    상기 UV 디바이스는 185nm 조사를 흡수하기 위한 확산 플레이트를 활용하는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  13. 삭제
  14. 제 1 항에 있어서,
    상기 산소 부분 압력은 15 내지 159 토르의 범위에 있고, 상기 총 프로세스 가스 압력은 80 내지 760 토르 범위에 있는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 UV 선량은 0.1 내지 20.0 J/cm2 범위에 있는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  16. 제 1 항에 있어서,
    상기 전-처리 제 1 프로세스 시간의 완료 이후 상기 습식 세정 시스템을 이용하여 습식 세정 프로세스를 수행하는 단계를 더 포함하는, 세정 시스템에서 기판을 세정하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 습식 세정 프로세스는 단일 웨이퍼 시스템 상에서 수행되는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  18. 제 16 항에 있어서,
    상기 전-처리 프로세스는 제 1 단일 웨이퍼 시스템을 이용하여 수행되고, 상기 습식 세정 프로세스는 제 2 단일 웨이퍼 시스템을 이용하여 수행되고, 또는 상기 전-처리 프로세스 및 상기 습식 세정 프로세스는 동일한 단일 웨이퍼 시스템을 이용하여 수행되는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  19. 제 16 항에 있어서,
    상기 습식 세정 프로세스는 처리액를 통한 담금 세정 프로세스(immersion clean process)를 이용하고, 상기 처리액은 수성, 반-수성 또는 완전 솔벤트 화학물질(full solvent chemistry)을 이용하는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  20. 제 19 항에 있어서,
    상기 처리액은, 수산화 암모늄(NH4OH)과 과산화수소(H2O2), 희석된 플루오르화 수소(dilute hydrogen fluoride; DHF), 탈이온수(deionized water; DIW)와 오존(O3), 디메틸-술포나이드(dimethyl-sulfonide; DMSO)과 모노-에틸라민(mono-ethylamine; MEA) 중 하나 이상을 포함하는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  21. 제 20 항에 있어서,
    상기 처리액을 재순환(recycling)하는 단계를 더 포함하는, 세정 시스템에서 기판을 세정하기 위한 방법.
  22. 제 1 항에 있어서,
    상기 세정 시스템은 프론트-엔드-오브-라인 제조 클러스터(front-end-of-line fabrication cluster) 또는 백-엔드-오브-라인 제조 클러스터(back-end-of-line fabrication cluster)의 부분인 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  23. 제 16 항에 있어서,
    상기 전-처리 가스는 프로세싱 챔버에 전달되어 혼합되고, 상기 오존은, 상기 기판이 254nm 전용 방사선을 이용한 조사 하에 있는 동안 상기 프로세싱 챔버에 공급되는 200nm 미만의 파장을 갖는 UV 소스에 의해 생성되고, 상기 UV 소스는 확산 플레이트 위에 배치되고, 상기 확산 플레이트는 상기 전-처리 프로세스 동안 185nm 파장 광이 상기 기판을 조사하는 것을 차단하고 후속 습식 세정 프로세스 동안 상기 UV 소스 및 연관된 장비를 보호하도록 구성되는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  24. 제 16 항에 있어서,
    상기 프로세싱 챔버는 상기 전-처리 프로세스 동안 그리고 후속 습식 세정 프로세스 동안 반응 챔버로서 기능하도록 구성되는 것인, 세정 시스템에서 기판을 세정하기 위한 방법.
  25. 단일 기판 상의 층의 세정을 제어하기 위한 시스템으로서,
    이온 주입된 레지스트 및 폴리머 막을 포함하는 층을 갖는 기판으로서, 상기 기판은 k-값을 갖는 것인, 상기 기판;
    기판 세정 시스템을 포함하고,
    상기 기판 세정 시스템은,
    상기 기판을 보유(hold)하도록 구성되는 프로세싱 챔버;
    상기 프로세싱 챔버에 커플링되고 제 1 프로세스 시간 동안 상기 기판의 표면의 부분 상에 하나 이상의 프로세스 가스들을 전달하도록 구성되는 프로세스 가스 전달 시스템;
    상기 프로세싱 챔버에 커플링되고 자외선(ultra-violet; UV) 광으로 상기 제 1 프로세스 시간 동안 상기 기판의 표면을 조사하도록 구성되는 자외선(UV) 디바이스로서, 상기 UV 디바이스는 하나 이상의 파장 범위들 및 UV 선량을 갖는 것인, 상기 UV 디바이스;
    상기 프로세싱 챔버에 커플링되고 습식 세정 프로세스 시간 동안 상기 기판의 표면 상에 처리액를 전달하도록 구성되는 처리액 전달 시스템;
    상기 프로세싱 챔버에 커플링되고 상기 제 1 프로세스 시간 동안 제 1 이동 속도를 그리고 상기 습식 세정 프로세스 시간 동안 제 2 이동 속도를 상기 기판에 제공하도록 구성되는 이동 제어 시스템;
    상기 프로세싱 챔버에 커플링되고 상기 기판 온도를 조정하도록 구성되는 기판 온도 조정 디바이스; 및
    상기 기판 세정 시스템에 커플링되고 2개 이상의 세정 목적들을 달성하기 위해 2개 이상의 세정 동작 변수들을 최적화하도록 구성되는 제어기를 포함하고,
    상기 2개 이상의 세정 동작 변수들은 기판 온도를 포함하고,
    상기 기판의 k-값은 2.0 내지 2.6의 범위에 있고, 상기 기판 온도는 25 내지 150℃의 범위에 있고,
    상기 하나 이상의 프로세스 가스들은 산소 및 오존이고,
    상기 2개 이상의 세정 목적들은 잔류물 제거의 퍼센티지 및 총 시간을 포함하고, 상기 총 시간은 상기 제 1 프로세스 시간 및 상기 습식 세정 프로세스 시간의 합이고,
    제 1 프로세스의 선택된 2개 이상의 동작 변수들은 UV 선량, 기판 온도, 제 1 프로세스의 세정 퍼센티지, 산소 부분 압력, 산소와 오존 부분 압력, 제 1 프로세스 시간, 총 프로세스 가스 압력 중 2개 이상을 포함하는 것인, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
  26. 삭제
  27. 제 25 항에 있어서,
    상기 하나 이상의 프로세스 가스들은 산소 및 오존의 혼합물이고, 상기 처리액은 황산 과산화수소수 혼합물(sulfuric acid peroxide mixture; SPM)이고, 상기 UV 디바이스로부터의 광의 파장은 200 내지 300nm의 범위에 있는 것인, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
  28. 제 25 항에 있어서,
    상기 UV 디바이스는 하나 이상의 저압 Hg 램프들이고, 상기 처리액은 SPM인 것인, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
  29. 제 28 항에 있어서,
    상기 오존 및 산소 원자들은 공기 또는 오존의 간접 소스를 갖는 산소로부터 생성되고, 상기 오존은 상기 기판이 254 nm 전용 방사선을 이용한 조사 하에 있는 동안, 상기 프로세싱 챔버에 공급되는 200 nm 미만의 파장들을 갖는 진공 UV 소스들, 코로나 방전, 또는 UV 소스에 의해 생성되는 것인, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
  30. 제 29 항에 있어서,
    산소 및 오존이 상기 프로세싱 챔버에 전달되어 혼합되고, 상기 UV 소스는 확산 플레이트 위에 배치되고, 상기 확산 플레이트는 상기 제 1 프로세스 시간 동안 185nm 파장 광이 상기 기판을 조사하는 것을 차단하고 상기 습식 세정 프로세스 시간 동안 상기 UV 소스 및 연관된 장비를 보호하도록 구성되는 것인, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
  31. 제 29 항에 있어서,
    상기 프로세싱 챔버에 커플링되고 상기 처리액를 재순환하도록 구성되는 재순환 시스템을 더 포함하는, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
  32. 삭제
  33. 제 29 항에 있어서,
    상기 UV 선량은 0.1 내지 20.0 J/cm2의 범위에 있고, 상기 산소 부분 압력은 15 내지 159 토르이고, 상기 총 프로세스 가스 압력은 80 내지 760 토르인 것인, 단일 기판 상의 층의 세정을 제어하기 위한 시스템.
KR1020157011733A 2012-10-05 2013-09-13 기판들의 세정을 위한 프로세스 가스 생성 KR101774122B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261710657P 2012-10-05 2012-10-05
US61/710,657 2012-10-05
US13/783,382 2013-03-03
US13/783,382 US9966280B2 (en) 2012-10-05 2013-03-03 Process gas generation for cleaning of substrates
PCT/US2013/059601 WO2014055218A1 (en) 2012-10-05 2013-09-13 Process gas generation for cleaning of substrates

Publications (2)

Publication Number Publication Date
KR20150079680A KR20150079680A (ko) 2015-07-08
KR101774122B1 true KR101774122B1 (ko) 2017-09-12

Family

ID=50431767

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157011733A KR101774122B1 (ko) 2012-10-05 2013-09-13 기판들의 세정을 위한 프로세스 가스 생성

Country Status (6)

Country Link
US (1) US9966280B2 (ko)
JP (1) JP6093446B2 (ko)
KR (1) KR101774122B1 (ko)
CN (2) CN110071035A (ko)
TW (1) TWI647756B (ko)
WO (1) WO2014055218A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249509B2 (en) 2012-11-09 2019-04-02 Tokyo Electron Limited Substrate cleaning method and system using atmospheric pressure atomic oxygen
JP6832108B2 (ja) * 2016-09-28 2021-02-24 株式会社Screenホールディングス 基板処理方法
JP6770887B2 (ja) * 2016-12-28 2020-10-21 株式会社Screenホールディングス 基板処理装置および基板処理システム
CN109092801B (zh) * 2017-06-20 2022-03-18 蓝思科技(长沙)有限公司 一种蓝宝石晶片的清洗方法及其采用的设备
US11027319B2 (en) * 2018-03-31 2021-06-08 Sensor Electronic Technology, Inc. Illumination using multiple light sources
JP2022041077A (ja) * 2020-08-31 2022-03-11 株式会社Screenホールディングス 基板処理方法および基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000070885A (ja) 1998-09-01 2000-03-07 Ultla Clean Technology Kaihatsu Kenkyusho:Kk 基板洗浄装置及び基板洗浄方法
US20010017142A1 (en) * 1992-02-07 2001-08-30 Masaaki Suzuki Method of washing substrate with UV radiation and ultrasonic cleaning

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109674A (ja) 1991-10-18 1993-04-30 Ushio Inc レジスト膜の灰化方法と灰化装置
JP2727481B2 (ja) * 1992-02-07 1998-03-11 キヤノン株式会社 液晶素子用ガラス基板の洗浄方法
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
US5803975A (en) 1996-03-01 1998-09-08 Canon Kabushiki Kaisha Microwave plasma processing apparatus and method therefor
JP3167625B2 (ja) * 1996-07-29 2001-05-21 島田理化工業株式会社 基板のウェット洗浄方法
JPH1048586A (ja) * 1996-08-02 1998-02-20 Sharp Corp 表示素子用基板およびその製造方法並びにその製造装置
JPH11323576A (ja) 1998-05-08 1999-11-26 Sumitomo Precision Prod Co Ltd ウエットエッチング方法
US6143477A (en) * 1998-09-08 2000-11-07 Amtech Systems, Inc. Dual wavelength UV lamp reactor and method for cleaning/ashing semiconductor wafers
JP2001118818A (ja) 1999-08-12 2001-04-27 Uct Kk 紫外線処理装置及び紫外線処理方法
US6503693B1 (en) 1999-12-02 2003-01-07 Axcelis Technologies, Inc. UV assisted chemical modification of photoresist
US6524936B2 (en) 2000-12-22 2003-02-25 Axcelis Technologies, Inc. Process for removal of photoresist after post ion implantation
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
WO2004001808A2 (en) 2002-06-23 2003-12-31 Aviza Technology, Inc. Method and system for atomic layer removal and atomic layer exchange
US6715498B1 (en) * 2002-09-06 2004-04-06 Novellus Systems, Inc. Method and apparatus for radiation enhanced supercritical fluid processing
JP2005129733A (ja) 2003-10-23 2005-05-19 Sumitomo Precision Prod Co Ltd 表面改質方法及び表面改質装置
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7837821B2 (en) 2004-10-13 2010-11-23 Rheonix, Inc. Laminated microfluidic structures and method for making
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
WO2007016689A1 (en) * 2005-08-02 2007-02-08 New Way Machine Components, Inc. Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays
US7527695B2 (en) * 2006-06-21 2009-05-05 Asahi Glass Company, Limited Apparatus and method for cleaning substrate
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
TWM329236U (en) 2007-01-19 2008-03-21 Kismart Corp Light emitting module
US20080268214A1 (en) * 2007-04-30 2008-10-30 Richard Allen Hayes Decorative safety glass
JP5224167B2 (ja) 2007-10-23 2013-07-03 株式会社湯山製作所 薬剤払出装置、並びに、薬剤払出システム
JP4640421B2 (ja) * 2008-02-29 2011-03-02 凸版印刷株式会社 紫外線照射装置
US20090293907A1 (en) * 2008-05-28 2009-12-03 Nancy Fung Method of substrate polymer removal
EP2166564B1 (en) * 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8492736B2 (en) * 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US8772170B2 (en) 2010-09-01 2014-07-08 Arizona Board Of Regents On Behalf Of The University Of Arizona Enhanced stripping of implanted resists
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
JP5481366B2 (ja) 2010-12-22 2014-04-23 東京エレクトロン株式会社 液処理方法および液処理装置
US20130330920A1 (en) * 2012-06-06 2013-12-12 Applied Materials, Inc. Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010017142A1 (en) * 1992-02-07 2001-08-30 Masaaki Suzuki Method of washing substrate with UV radiation and ultrasonic cleaning
JP2000070885A (ja) 1998-09-01 2000-03-07 Ultla Clean Technology Kaihatsu Kenkyusho:Kk 基板洗浄装置及び基板洗浄方法

Also Published As

Publication number Publication date
KR20150079680A (ko) 2015-07-08
JP6093446B2 (ja) 2017-03-08
TW201428846A (zh) 2014-07-16
WO2014055218A1 (en) 2014-04-10
US20140096792A1 (en) 2014-04-10
JP2015537372A (ja) 2015-12-24
CN104903014A (zh) 2015-09-09
TWI647756B (zh) 2019-01-11
US9966280B2 (en) 2018-05-08
CN110071035A (zh) 2019-07-30

Similar Documents

Publication Publication Date Title
KR101774122B1 (ko) 기판들의 세정을 위한 프로세스 가스 생성
TWI526257B (zh) 使用噴嘴清洗基板上之一層的控制
TWI667708B (zh) 蝕刻後聚合物及硬遮罩移除之加強型移除用方法及硬體
US6350391B1 (en) Laser stripping improvement by modified gas composition
KR101010419B1 (ko) 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법
US7514015B2 (en) Method for surface cleaning
US10249509B2 (en) Substrate cleaning method and system using atmospheric pressure atomic oxygen
EP2166564B1 (en) Method for removing a hardened photoresist from a semiconductor substrate
US20170301567A9 (en) System of controlling treatment liquid dispense for spinning substrates
JP2007027696A (ja) 超臨界流体処理のためのインラインメトロロジー
KR102362672B1 (ko) 기판의 기상 히드록실 라디칼 프로세싱을 위한 시스템 및 방법
JP2013506313A (ja) 基板上のシリコン含有反射防止コーティング層の再加工方法
TW201349345A (zh) 用以蝕刻有機硬遮罩之方法
JP2015537372A5 (ko)
WO2008097462A1 (en) Plenum reactor system
JP6738414B2 (ja) 紫外線に暴露された水性液体媒体で基板を処理する方法
KR100505693B1 (ko) 미세 전자 소자 기판으로부터 포토레지스트 또는 유기물을세정하는 방법
US9875916B2 (en) Method of stripping photoresist on a single substrate system
JP2002231696A (ja) レジスト除去方法とその装置
KR19990088109A (ko) 웨트에칭방법및장치
Kesters et al. PR and BARC Wet Strip in BEOL Patterning Using a UV-Enabled Aqueous Process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant