CN1783479A - 互连结构及其形成方法 - Google Patents

互连结构及其形成方法 Download PDF

Info

Publication number
CN1783479A
CN1783479A CNA2005101173780A CN200510117378A CN1783479A CN 1783479 A CN1783479 A CN 1783479A CN A2005101173780 A CNA2005101173780 A CN A2005101173780A CN 200510117378 A CN200510117378 A CN 200510117378A CN 1783479 A CN1783479 A CN 1783479A
Authority
CN
China
Prior art keywords
hard mask
low
interconnection structure
dielectric material
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005101173780A
Other languages
English (en)
Other versions
CN100388477C (zh
Inventor
S·V·源
M·莱恩
S·M·盖茨
刘小虎
V·J·麦加海伊
S·C·梅赫塔
T·M·肖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1783479A publication Critical patent/CN1783479A/zh
Application granted granted Critical
Publication of CN100388477C publication Critical patent/CN100388477C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了一种位于其中嵌有至少一个导电特征的低k介质材料的表面上的硬掩模。该硬掩模包括相邻于低k介质材料的密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域。本发明还提供了制造本发明硬掩模的方法以及形成包括该硬掩模的互连结构的方法。

Description

互连结构及其形成方法
技术领域
本发明总体涉及集成电路(IC),尤其涉及利用互连结构的硅基介质,包括通过大马士革方法制造的多级互连结构,其中介质是介电常数为约3.0或更低的低k介质。本发明描述了硅基介质的改善的可靠性,以及在这些低k介质中含有例如铜的金属布线的互连结构,通过在每个硅基介质的顶上引入致密、渐变的硬掩模而改善所述结构。本发明还描述了制造本发明硬掩模的方法以及将本发明硬掩模用于互连结构中的方法。
背景技术
通常,半导体器件包括多个电路,其形成设置在单晶硅衬底上的IC。通常布线信号路径的复杂网络以连接分布在衬底表面上的电路元件。对这些信号通过器件的有效布线要求形成多级或多层互连方案,例如基于铜的双大马士革布线结构。铜基互连结构优于先前使用的Al互连,由于其有效地提供了复杂半导体芯片上的大量晶体管之间的高速信号传输。
在典型的互连结构中,金属过孔垂直于硅衬底延伸,而金属布线平行于硅衬底延伸。通过将金属布线和过孔嵌入介电常数为约3.0或更低的低k介质在新的IC产品芯片中实现了更强的信号速度和减少了相邻金属布线中的信号(称为“串扰”)。所述低k介质有时被称作极低k(ULK)介质。
目前,在IC芯片上形成的互连结构由至少约2到约10个布线极构成。在一类现有技术的互连结构中,在介电常数为约3.0或更低的低介电常数(k)的材料中形成结构。然而,这些现有技术的结构存在可靠性的问题。在集成,可靠性应力,或延伸使用期间,由于较差的粘性、湿气增大、以及金属衬里/金属与低k介质之间的各种应力转移,在低k介质中形成的芯片互连结构可能发生故障或变坏。该较差的质量和可靠性来自于低k介质膜和金属衬里中的缺陷,允许金属或金属离子例如Cu或Cu离子渗入介质,从而允许例如H2O或O2的氧化物质与金属反应。当引入多孔低k膜时,该问题变得严重,其中膜中存在纳米孔,以降低所述膜的介电常数。
这些多孔低k膜的机械特性相比于用于随后的器件制造和封装的常规SiO2介质较弱。从而,当低k介质膜变得更多孔时,可能发生严重的级间介质膜破裂,尤其在其被暴露在湿气中时。然而,当介质膜倾向于应力破裂时,多孔低k膜的有效粘性强度下降。
在集成结构中,并且当在下面的构图层上沉积覆盖介质层时,由于金属互连布线和介质之间的热失配,破裂的驱动力增大。从而,即使膜自身不含有导致破裂的足够的驱动力,在上面的覆盖介质膜中仍可能发生破裂。
考虑到上述,需要通过减少低k介质的缺陷防止湿气吸收,减少破裂并改善低k介质膜的机械特性,并增强金属/低k介质互连界面的可靠性,所述缺陷通过开孔导致,并导致在随后处理步骤中的容易的湿气吸收、界面之间较差的粘性、增加的破裂力以及最后器件可靠性发生故障。
发明内容
本发明提供了可靠性得到改善的低k(k为约3.0或更低,优选低于约2.8,尤其优选低于约2.5)介质中的双或单大马士革型的后段制程(BEOL)互连结构。本发明的形成于低k介质中的BEOL互连结构,与常规的形成于低k介质中的BEOL互连结构相比,非常稳定,并在电场工作和可靠性应力中具有可靠的电特征,例如泄漏、金属电阻和电容。另外,本发明BEOL互连结构没有表现出将导致差介质击穿的分层或湿气吸收。
具有上述特征的本发明BEOL互连结构包括位于低k介质上的改善的硬掩模,在所述介质中形成金属布线和/或过孔。本发明硬掩模具有渐变的C含量,包括含有Si、C、H原子和可选的O和/或N原子的上区域、以及包括其中C含量低于约10原子%的密封氧化物材料的下区域。本发明硬掩模的下区域位于低k介质材料的表面上。本发明硬掩模的下密封氧化物层较薄,在约0.5到约10nm的数量级,并用作湿气阻挡层。含有Si、C、H原子和可选的O和/或N原子的上区域用作化学机械抛光(CMP)蚀刻停止层。本发明硬掩模的上区域的厚度为从约5到约100nm。
具体,宽泛地描述,本发明的互连结构包括:
低k介质材料,具有约3.0或更小的介电常数,并具有嵌入其中的至少一个导电特征;以及
硬掩模,位于所述低k介质材料的表面上,所述硬掩模包括相邻于所述低k介质材料的密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域。
在本发明的一些实施例中,所述上区域还可以包括O、N或O和N的混合物。
除了上述BEOL互连结构,本发明还提供了制造硬掩模的方法,其可以被集成到BEOL处理中,以提供上述本发明互连结构。尤其是,本发明方法包括以下步骤:
在衬底表面上形成低k介质材料,其具有约3.0或更小的介电常数;
在所述低k介质材料的表面上形成硬掩模,所述硬掩模包括相邻于所述低k介质材料的密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域;
在所述硬掩模和部分所述低k介质材料中提供至少一个开口;
在所述开口中形成衬里;以及
用导电材料填充所述开口。
附图说明
图1A-1E(通过截面图)示出了在本发明中用于制造具有改善的可靠性的BEOL互连结构的基本处理步骤;
图2A和2B示出了从OMCATS前体形成的本发明硬掩模的击穿数据和泄漏数据;
图3是从OMCATS前体形成的本发明硬掩模的FTIR谱;
图4是本发明OMCATS硬掩模的Auger谱。
具体实施方式
下面将通过参考伴随本申请的附图更详细地描述本发明,其中提供了具有改善的可靠性的BEOL互连结构以及制造其的方法。注意,在图1A-1E中示出本发明的基本处理步骤的附图只是用于说明,从而没有按比例绘制。
首先参考图1A,其中示出了在衬底10的表面上形成低k介质材料12后的结构。术语“衬底”在结合衬底10使用时包括:半导电材料、绝缘材料、导电材料或包括多层结构的其任意组合。从而,例如,衬底10可以是例如Si、SiGe、SiGeC、SiC、GaAs、InAs、InP以及其它III/V或II/VI化合物半导体的半导电材料。半导体衬底10还可以包括叠层衬底,例如Si/SiGe、Si/SiC、绝缘体上硅(SOI)或绝缘体上硅锗(SGOI)。
当衬底10是绝缘材料时,绝缘材料可以是有机绝缘体、无机绝缘体或包括多层的其组合。当衬底10是导体材料时,衬底10可以包括例如多晶硅、元素金属、元素金属的合金、金属硅化物、金属氮化物以及包括多层的其组合。
在一些实施例中,衬底10包括半导电材料和绝缘材料的组合、半导电材料和导电材料的组合或者半导电材料、绝缘材料以及导电材料的组合。
当衬底10包括半导体材料时,可以在其上制造一种或多种半导体器件,例如互补金属氧化物半导体(CMOS)器件。为了清楚,在本申请的附图中未示出所述一种或多种半导体器件。
低k介质材料12可以包括介电常数为约3.0或更小的任何介质材料。优选,低k介质材料12的介电常数低于约2.8,尤其优选的是,其介电常数低于约2.5。低k介质材料12可以是多孔的或无孔的。当采用多孔介质膜时,其介电常数低于无孔的相同的介质膜。优选,低k介质材料12是多孔材料。
可以用于本发明的低k介质的实例包括但不限于:含硅材料,例如Si、C、O和H的复合物(SiCOH),以及所谓的掺碳氧化物(CDO)或有机硅玻璃(OSG)。另一个低k介质的实例为热固性聚亚芳基醚。术语“聚亚芳基”用在这里表示芳基部分或惰性取代的芳基部分,其通过键、稠合环或例如氧、硫、砜、亚砜、羰基等的惰性连接基团连接在一起。
通常利用等离子体增强化学气相沉积(PECVD)沉积低k介质膜12。除了PECVD,本发明还考虑可以利用以下方法形成低k介质膜12:化学气相沉积(CVD)、高密度等离子体(HDP)沉积、脉冲PECVD、旋涂施加、或其它相关方法。沉积的低k介质膜12的厚度可以改变;沉积的低k介质膜12的厚度的典型范围为约50nm到约1μm,尤其典型的厚度为100到约500nm。
通常,低k介质膜12是SiCOH介质,其利用在以下共同转让的美国专利中公开的处理技术沉积:6,147,009、6,312,793、6,441,491、6,437,443、6,441,491、6,541,398、6,541,398、6,479,110B2以及6,497,963,其内容在此引用作为参考。
尤其是,这样形成SiCOH介质膜:通过在反应室中提供至少包括Si、C、O和H的第一前体(液体、气体或蒸气)和例如He或Ar的惰性载体,优选,所述反应室为PECVD反应室,然后利用有利于形成SiCOH介质材料的条件在合适的衬底上沉积从所述第一前体获得的膜。本发明另外还提供用例如O2、CO2或其组合的氧化剂与第一前体混合,从而稳定反应室中的反应物,并改善沉积在衬底10上的低k介质膜12的均匀性。
除了第一前体,可以使用包括C、H和可选的O、F和N原子的第二前体(气体、液体或蒸气)。可选的是,还可以使用包括Ge的第三前体(气体、液体或气体)。
优选,从具有包括SiCOH成分的环结构的有机分子中选择第一前体,所述SiCOH成分例如1,3,5,7-四甲基环四硅氧烷(“TMCTS”或“C4H16O4Si4”)、八甲基环四硅氧烷(OMCTS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基甲氧基硅烷(DEDMOS)以及相关环状和非环状的硅烷、硅氧烷等。
可以用于形成SiCOH低k介质的第二前体为烃分子。尽管可以使用例如乙烯的烃分子,第二前体优选选自包括具有环结构、尤其在分子中具有多于一个环或在环上连接有支链的烃分子。含有稠合环、其中至少一个包括杂原子优选氧的物质尤其有用。在这些物质中,最适合的是包括施加显著环张力的尺寸的环,即3或4个原子和/或7或更多原子的环的物质。一类已知为氧杂双环类的化合物的多种尤其有吸引力,例如氧化环戊烯(“CPO”或C5H8O)。还可以使用包括连接在烃环上的支化叔丁基(t-butyl)和异丙基(i-propyl)的分子;所述环可以是饱和的或不饱和的(含有C=C双键)。可以从氢化锗烷或任何其它包括Ge源的反应物形成第三前体。
在本发明的优选实施例中,可以利用包括提供平行板反应室步骤的方法沉积用作低k介质12的SiCOH介质膜,所述平行板反应室具有在约85cm2和约750cm2之间的底盘的导电面积、以及在衬底和顶电极之间在约1cm和约12cm之间的间隔。对电极中的一个施加频率在约0.45MHz和约200MHz之间的高频RF功率。可选的是,可以对电极中的一个施加另外的低频功率。
用于沉积步骤的条件可以根据SiCOH介质膜的希望的最终介电常数变化。通常,用于提供包括Si、C、O和H及介电常数为约2.8或更小的稳定介质材料的条件包括:将衬底温度设置在约200℃和约425℃之间;将高频RF功率密度设置在约0.1W/cm2和约2.5W/cm2之间;将第一液体前体流速设置在约100mg/分钟和约5000mg/分钟之间,可选地,将第二液体前体流速设置在约50mg/分钟到约10,000mg/分钟之间;可选地,将第三液体前体流速设置在约25mg/分钟到约4000mg/分钟之间;可选地,将例如氦气(和/或氩气)的惰性载体气体的流速设置在约50sccm到约5000sccm之间;将反应室压力设置为在约1000mTorr和约7000mTorr之间的压力;以及将高频RF功率设置在约75W和约1000W之间。可选地,可以对等离子体增加在约30W和约400W之间的低频功率。当将底盘的导电面积改变X的因子,也将施加到底盘的RF功率改变X的因子。
当在本发明中使用氧化剂时,以在约10sccm到约1000sccm之间的流速将其流入PECVD反应室。
尽管在上述实例中使用了液体前体,在本领域公知的是,还可以使用有机硅气相前体(例如三甲基硅烷)用于沉积。在沉积低k介质膜12中可以包括生孔物(porogen),其使得接着在随后的固化步骤中在膜12中形成孔。在本发明中,可以利用下述的处理步骤中的一种实现随后的固化。可以在沉积硬掩模14前、或在沉积硬掩模14之后进行对低k介质膜12的固化。优选,同时处理低k介质膜12和掩模14。
在本发明的优选实施例中,在本发明的此处形成的低k介质膜12包含氢化氧化硅碳材料(SiCOH)的阵列,所述材料包括共价键接的三维网的Si、C、O和H原子,并且具有不高于约2.8的介电常数。所述三维键接网可以包括含有Si-O、Si-C、Si-H、C-H和C-C键的共价键接的三维环结构。
低k介质膜12可以包括F和N,并可以可选地使Si原子被Ge原子部分替换。低k介质膜12可以含有直径在约0.3到约50纳米之间的分子规模的空穴(即纳米尺寸孔),优选的是,直径在约0.4和约10nm之间,并将膜12的介电常数减小为低于约2.0的值。低k介质膜12的纳米尺寸孔占据材料体积的约0.5%到约50%之间的体积。
当低k介质膜12是SiCOH介质时,其通常包括在约5和约40原子%之间的Si;在约5和约45原子%之间的C;在0和约50原子%之间的O;以及在约10和约55原子%之间的H。
接着,如图1B所示,在低k介质膜12的顶上形成根据本发明的硬掩模14。如图所示,本发明的硬掩模14包括下区域14A和上区域14B。本发明的硬掩模14的下区域14A的表面位于低k介质12的表面上。
硬掩模14的下区域14A包括密封氧化物材料,其包括少于约10原子百分数(%)的C。尤其是,下区域14A包括少于约5原子%的C。注意,接近低k介质12的下区域14A中的C含量低于硬掩模14中的其它区域。从而,本发明的硬掩模14具有渐变的C含量,所述含量在接近低k介质12处最低,并增大到可以从低k介质12的上表面获得的含量。
这里使用的术语“密封”表示硬掩模14中的下区域是这样的区域,其通过提供密封层的设置防止空气或湿气渗入下面的低k介质膜12,从而基本不受例如空气或湿气的外界影响。也就是说,下区域14A是防止例如空气或湿气的污染进入低k介质12的阻挡区域。本发明硬掩模14的下区域14A是厚度为约0.5到约10nm的量级的薄区域。尤其是,下区域14A的厚度从约1到约5nm。
本发明硬掩模14的上区域14B包括Si、C、H和可选的O、N或O和N的混合物的原子,其用作化学机械抛光(CMP)蚀刻停止层。本发明硬掩模14的上区域14B的厚度为约5到约100nm,优选为厚度在约10到约50nm之间。通常,本发明硬掩模14的上区域14B包括从约10到约80的原子%的C、从约10到约80原子%的Si和从约5到约40原子%的H。尤其是,上区域14B包括从约30到约60原子%的C、从约30到约60原子%的Si、以及从10到约30原子%的H。当上区域中包括O时,其通常占约5到约40原子%,优选占约10到约20原子%。当上区域中包括N时,其通常占约5到约40原子%,优选占约10到约30原子%。
硬掩模14是致密层,通常具有从约1.0到约1.9gm/cm3的密度(下区域14A的密度略大于整个硬掩模14的密度,通常在约1.2到约2.3gm/cm3之间),并且其介电常数通常与低k介质膜12具有相同的量级。硬掩模14(包括下区域14A和上区域14B)的总厚度在约5到约100nm,优选为从约10到约50nm。硬掩模14为互连结构提供高的耐湿性以及良好的粘性和CMP阻挡特性。
致密、渐变的掩模14基本无缺陷,这表示其中不包含针孔或微小缝隙。硬掩模中存在针孔和微小缝隙将导致湿气和空气渗入下面的低k介质12。
通过利用沉积工艺形成硬掩模14,所述工艺包括例如高密度等离子体(HPD)沉积、下游(downstream)HPD沉积、电子回旋加速器谐振辅助PECVD、等离子体增强原子层沉积(PEALD)、ALD或其它相关沉积工艺。
通过将衬底10放入PECVD装置、HDP装置、PE ALD装置、ECR等离子体增强CVD装置、ALD装置或其它类似装置的反应室中而形成本发明的硬掩模14。在反应室中,施加低k介质12,然后形成硬掩模14。可以进行低k介质12和硬掩模14的形成而不破坏反应室中的真空。可选的是,但不优选,在沉积低k介质12和硬掩模14之间可以打破真空。通常,通过选择包括至少Si或有机硅源和氧源的至少两种前体分子形成硬掩模14,可以将所述前体分子以单个气流或混合物引入反应室。所述前体分子在被引入反应室之前可以为液体或蒸气形式,但是一旦进入所述室,其被形成为蒸气或等离子体,并被用于在低k介质12上沉积硬掩模14。
如上所述,在本发明中用于形成硬掩模14的前体分子包括Si或有机硅源和氧源。可选的是,在一些情况下还可以使用碳源和/或氮源,例如氨。
用于形成硬掩模14的第一前体可以包括与用于形成低k介质12相同的Si或有机硅前体。从而,例如,用于形成本发明硬掩模14的Si或有机硅源可以包括具有环结构的有机分子,所述环结构包括如下的SiCOH成分:1,3,5,7-四甲基环四硅氧烷(“TMCTS”或“C4H16O4Si4”)、八甲基环四硅氧烷(OMCTS)、二乙氧基甲基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基甲氧基硅烷(DEDMOS)以及相关环状和非环状的硅烷、硅氧烷等。
在上段中提到的与第一前体结合使用的氧源包括O2、CO2或其混合物。根据本发明,通过在开始沉积中将过量的氧源引入反应室而形成致密、渐变的硬掩模14。当进行沉积时,降低氧源进入反应室的流速以获得稳定状态的沉积。通常,在沉积硬掩模14的开始阶段,氧源进入反应室的流速为从约50到约500sccm,而第一前体的流速为从约50到约2000sccm。对于液体前体,流速为100mgm到约4000mgm。在沉积工艺的过程中,将氧源的流速降低到从约0到约250sccm,而第一前体的流速为从约100到约1000sccm(或者对于液体前体为1000到约2800mgm)。
可以用于形成硬掩模14的可选的C源是烃分子。尽管可以使用例如乙烯或甲烷的烃分子形成硬掩模14,可选源优选选自包括具有环结构、尤其在分子中具有多于一个环或在环上连接有支链的烃分子。含有稠合环、其中至少一个包括杂原子优选氧的物质尤其有用。在这些物质中,最适合的是包括施加显著环张力的尺寸的环,即3或4个原子和/或7或更多原子的环的物质。一类已知为氧杂双环类的化合物的多种尤其有吸引力,例如氧化环戊烯(“CPO”或C5H8O)。还可以使用包括连接在烃环上的支化叔丁基(t-butyl)和异丙基(i-propyl)的分子;所述环可以是饱和的或不饱和的(含有C=C双键)。用于沉积硬掩模14的可选C源的典型流速为从约100到约1000sccm,优选为从约200到约400sccm。
可以结合上述源使用例如He或Ar的惰性载体。
通常在低压(在约50到约8000毫托,例如mTorr的量级)、低温(在小于420℃的量级)以及低RF源和偏置功率(对于200mm的系统小于800瓦)下进行对硬掩模14的沉积。
在沉积后,可以可选地利用例如热量、电子束、等离子体或如UV或激光的光学辐射的能源来处理硬掩模14。在本发明中还可以使用上述能源的组合。
热能源包括可以将沉积的硬掩模14的温度加热到高达450℃的任何源,例如加热元件或灯。优选,热能源可以将硬掩模14的温度加热到从约200到450℃,尤其为从约350℃到约425℃。该热处理工艺可以进行各种时间段,通常为从约0.5分钟到约300分钟的时间段。通常在存在惰性气体例如He、Ar、Ne、Xe、N2或其混合物的情况下进行热处理步骤。可以将热处理步骤称为退火步骤,其中可以采用快速热退火、高炉退火、激光退火或峰值退火条件。
在一些实施例中,可以在存在含有例如H2或烃的氢源气体的气体混合物的情况下进行热处理步骤。在另一些实施例中,可以在存在含有分压非常低、低于1000百万分之一的O2和H2O的气体混合物的情况下进行热处理步骤。
利用可以产生波长从约500到约150nm的光的源照射衬底,同时保持晶片温度高达450℃而进行UV光处理步骤,其中温度优选从200℃到450℃,尤其优选从350℃到425℃。大于370nm的辐射的能量不足以分裂或激活重要键,因此波长范围优选为150-370nm。利用文献数据和在沉积的膜上测量的吸收谱,本发明人已经发现,由于SiCOH膜的变坏,小于170nm的辐射可能是不希望的。另外,310-370nm的能量范围比150-310nm的能量范围的作用差,因为310-370nm具有较低的每光子能量。在150-310nm范围中,可以可选地使用沉积膜的吸收谱和膜特性(例如疏水性)的最小变坏的优化重叠以选择UV谱的最有效的区域用于改变SiCOH特性。
可以在惰性气体、氢源气体或利用上述分压范围的O2和H2O气体混合物中进行UV光处理步骤。
利用可以在晶片上产生能量从0.5到25keV、电流密度从0.1到100微安/cm2(优选为1到5微安/cm2)的均匀电子流的源,同时保持晶片温度高达450℃而进行电子束处理步骤,其中温度优选从200℃到450℃,尤其优选从350℃到425℃。用于电子束处理步骤中的电子的优选剂量为50到500微库/cm2,优选为100到300微库/cm2
可以在惰性气体、氢源气体或利用上述分压范围的O2和H2O气体混合物中进行电子束处理步骤。
利用可以产生原子氢(H)和可选的CH3或其它烃基的源进行等离子体处理步骤。下游等离子体源优于直接等离子体暴露。在等离子体处理中,将晶片温度保持高达450℃,优选从200℃到450℃,尤其优选从350℃到425℃。
通过将可以产生等离子体的气体引入反应室并然后将其转换成等离子体而进行等离子体处理步骤。可以用于等离子体处理的气体包括:例如Ar、N、He、Xe或Kr的惰性气体,优选为He;氢或原子氢的相关源、甲烷、甲基硅烷、CH3基的相关源、及其混合物。等离子体处理气体的流速可以根据使用的反应室系统而变化。室压可以在任意处为从0.05到20托,优选的气压操作的范围为1到10托。等离子体处理步骤发生时经过一段时间,通常为约1/2到约10分钟,尽管在本发明中可以使用更长的时间。
通常使用RF或微波功率源来产生上述等离子体。RF功率源可以在高频范围(为约100W或更大的量级)下工作;也可采用低频范围(低于250W)或其组合范围。高频功率密度可以在0.1到2.0W/cm2的任何范围,优选的操作范围为0.2到1.0W/cm2。低频功率密度可以在0.1到1.0W/cm2的任何范围,优选的操作范围为0.2到0.5W/cm2。选择的功率水平必须足够低,以避免对暴露的介质表面的显著溅射蚀刻(<5纳米去除)。
除上述以外,还可以使用深紫外(DUV)激光源。用于处理沉积的硬掩模14的激光源通常是受激准分子激光器,其根据激光气体混合物在几个DUV波长的一个上工作。例如,可以使用产生308nm辐射的XeF激光器。另外,在本发明中可以采用产生248nm辐射的KrF激光器、或产生193nm辐射的ArF激光器。受激准分子激光器可以以几百个脉冲每秒工作,其中脉冲能量高达一个焦耳(J),从而产生几百瓦(W)的输出。
用于处理沉积的硬掩模14的激光器优选在单脉冲模式下工作。可以扩展激光束以暴露整个样品。可选的是,对于更大的样品,可以将激光暴露面积雷达扫描通过样品,以提供均匀剂量。利用受激准分子激光器,将注量限于低于5mJ/cm2每脉冲,以确保不发生熔蚀。受激准分子激光器的约为10ns的短脉冲时间可能导致在大于20mJ/cm2的注量下的材料熔蚀。通常,采用0.1-5mJ/cm2每脉冲的激光注量水平。总量可以在1到10000J/cm2之间变化,优选为500-2000J/cm2。这通过多激光脉冲暴露实现。例如,利用106的脉冲的持续时间的1mJ/cm2的注量可以获得1000J/cm2的量。受激准分子激光器通常以几百脉冲每秒工作。根据要求的总量,用于DUV激光处理的总曝光时间为几秒到几小时。利用在3mJ/cm2每脉冲的注量水平下工作的200Hz激光器在少于15分钟的时间内实现了通常的500J/cm2的量。
上述处理步骤导致对沉积的硬掩模14的激活。尤其是,上述处理步骤导致硬掩模中的交叉连接,其又导致体键接。并且,所述处理步骤通过加热和光能除去硬掩模中存在的任何弱键接结构,使硬掩模在低加热能下变得更稳定。
在提供如图1B所示结构后,在硬掩模14的表面上施加光致抗蚀剂材料(未示出),然后使用光刻以提供在光致抗蚀剂材料中的图形。这里形成的图形通常是过孔图形。虽然,在本发明此处特定描述和示出了过孔图形,但是可以替代地形成布线图形。接着,利用一种或多种蚀刻步骤将过孔图形转移到硬掩模14中,然后进入低k介质12中。通常在蚀刻硬掩模14后除去构图的抗蚀剂。蚀刻步骤包括第一蚀刻,其中选择性地除去硬掩模14的未被构图的光致抗蚀剂材料履盖的暴露部分。第一蚀刻包括干蚀刻工艺,例如反应离子蚀刻、离子束蚀刻或等离子体蚀刻。在构图硬掩模14后,剥去构图的光致抗蚀剂,然后使用第二蚀刻以选择性地除去低k介质12的暴露部分。
在一些实施例中,没有使用本发明的随后的第二构图步骤。当采用时,第二构图步骤包括在结构上施加另一抗蚀剂材料(未示出),然后利用光刻提供布线图形,利用包括一种或多种干蚀刻步骤的蚀刻工艺将所述图形转移入硬掩模14和部分低k介质12。将布线图形形成到低k介质12的上部中。在图1C中,标号16表示利用上述处理步骤在低k介质12中形成的开口。在所示的实施例中,开口16包括过孔区域18和布线区域20。
在本发明的一些实施例中,可以先形成布线,然后形成过孔。在另一些实施例中,只形成过孔或布线开口。
然后在上述形成的开口16中的全部暴露表面(垂直和水平)上形成至少一个衬里22,以提供如图1D所示的结构。通过任何沉积工艺形成衬里22,包括但不限于:CVD、PECVD、溅射、化学溶液沉积或镀覆。衬里22由任何可以用作防止导电材料扩散通过的阻挡层的材料构成。该阻挡层材料的说明性实例包括例如Ta、Ti、W、Ru的难熔金属,或其氮化物,例如TaN、TiN、WN。衬里22还可以包括TiNSi。衬里22的厚度通常从约5到约60nm,优选为从约10到约40nm。
在一些实施例中,未示出,可以从过孔20的底壁除去衬里22,以提供具有开过孔底部的互连结构。当希望开过孔底部结构时,采用离子轰击或其它类似的方向蚀刻工艺。
接着,如图1E所示,在开口16中沉积导电材料24。导电材料24包括多晶Si、导电金属、包括至少一种导电金属的合金、导电金属硅化物或者其组合。优选,导电材料24是例如Cu、W或Al的导电金属。在尤其优选的实施例中,导电材料24由Cu构成。利用常规沉积工艺在开口16中形成导电材料24,所述工艺包括但不限于:CVD、PECVD、溅射、化学溶液沉积或镀覆。在沉积后,可以采用平面化工艺,使导电材料24的上表面与低k介质12的上表面、或者如果存在并没有被平面化步骤除去,与硬掩模14的上表面基本共面。在图1E中,示出了后一实施例。这里,可以将导电填充的开口称为嵌在低k介质12中的导电特征。
可以将上述处理重复任何次数,以提供多级互连结构。尽管多级互连结构不限于任何数目,目前的技术为从约2到约10的互连级。
提供下面的实例以说明上述本发明硬掩模14的一些优点。
实例
在该实例中,通过利用下表中的条件的PECVD形成本发明的四种不同的含OMCATS的硬掩模(HM)。
  OMCATSHM1300mm   OMCATSHM2300mm   OMCATSHM3300mm   OMCATSHM4200mm*
  OMCATS流速(mgm)   2000   2500   2800   1800
  O2流速(sccm)   0   160   220   0
  He载体流速(sccm)   1000   1000   1000   500
  温度(℃)   350   350   350   350
  气压(托)   5   5   5   5
  距离(毫英寸)   450   450   450   450
  HF功率(W)   500   500   400   500
  LF功率(W)   0   150   60   75
*对于在200mm等离子体CVD系统(第5列)中制备的OMCATSHM4,图2A和2B示出了通常电泄漏图形、击穿图形以及J-E图形。从图中可以看出,该膜具有8MV/cm的优秀的击穿特性,以及在2MV/cm下的低于2×10E-9A/cm的低电泄漏。
图3所示的FTIR谱显示了典型的等离子体SiCOH膜结构,其具有在膜中键接的稳定Si-O、Si-CH3、Si-H以及交叉连接的Si-CH2-Si。没有O2前体的SiCOH膜的典型Auger分布(图4)示出了良好的深度分布均匀性。图2-4中的这些结果示出了本发明硬掩模的稳定键接结构和优秀的电特性。总之,本发明的硬掩模在所述沉积工艺条件下具有良好的CMP抵抗特性。
尽管关于本发明的优选实施例特定示出和描述了本发明,但是本领域技术人员可以理解,在不偏离本发明的精神和范围的情况下,可以在形式和细节上进行上述和其它变化。从而,本发明不限于所描述和示出的具体形式和细节,而是落入所附权利要求书的范围中。

Claims (29)

1.一种互连结构,包括:
低k介质材料,具有约3.0或更小的介电常数,并具有嵌入其中的至少一个导电特征;以及
硬掩模,位于所述低k介质材料的表面上,所述硬掩模包括相邻于所述低k介质材料的密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域。
2.根据权利要求1的互连结构,其中所述硬掩模具有渐变的C含量,所述含量在由所述低k介质材料形成的界面上较低,并从所述界面随距离增大。
3.根据权利要求1的互连结构,其中所述硬掩模的密度在1.0gm/cm3和1.9gm/cm3之间。
4.根据权利要求1的互连结构,其中所述硬掩模的所述上区域还包括O原子、N原子或O和N原子的组合。
5.根据权利要求1的互连结构,其中所述低k介质材料包括SiCOH介质或热固性聚亚芳基醚,并且是多孔的或者无孔的。
6.根据权利要求1的互连结构,其中所述至少一个导电特征包括过孔、布线或其组合。
7.根据权利要求1的互连结构,其中所述至少一个导电特征包括多晶Si、导电金属、包括至少一种导电金属的合金、导电金属硅化物或其组合。
8.根据权利要求1的互连结构,其中所述至少一个导电特征包括Cu。
9.根据权利要求1的互连结构,其中所述硬掩模的所述下区域的C含量小于约10原子%。
10.根据权利要求1的互连结构,其中所述硬掩模的所述下区域的厚度为从约0.5到约10nm。
11.根据权利要求1的互连结构,其中所述硬掩模的所述上区域的厚度为从约5到约100nm。
12.根据权利要求1的互连结构,还包括位于所述至少一个导电特征和所述低k介质材料之间的至少一个衬里。
13.根据权利要求12的互连结构,其中所述至少一个衬里包括Ta、Ti、W、Ru、TaN、TiN、WN、TiNSi或其组合和多层。
14.根据权利要求1的互连结构,还包括在所述硬掩模上的至少一个另外的低k介质材料,每个另外的低k介质材料的介电常数为约3.0或更小,并且具有嵌入其中的至少一个导电特征,其中每个所述另外的低k介质材料被硬掩模隔开,所述硬掩模包括相邻于所述低k介质材料的密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域。
15.一种硬掩模,其包括密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域,所述硬掩模具有渐变的C含量。
16.根据权利要求15的硬掩模,其中所述下区域中的C含量低于所述上区域。
17.根据权利要求15的硬掩模,其中所述密封氧化物材料的密度在1.2gm/cm3和2.3gm/cm3之间。
18.根据权利要求15的硬掩模,其中所述下区域的厚度为从约0.5到约10nm,以及所述上区域的厚度为从约5到约100nm。
19.一种形成互连结构的方法,包括以下步骤:
在衬底表面上形成低k介质材料,其具有约3.0或更小的介电常数;
在所述低k介质材料的表面上形成硬掩模,所述硬掩模包括相邻于所述低k介质材料的密封氧化物材料的下区域和位于所述密封氧化物材料上的包括Si、C和H原子的上区域;
在所述硬掩模和部分所述低k介质材料中提供至少一个开口;
在所述至少一个开口中形成衬里;以及
用导电材料填充所述至少一个开口。
20.根据权利要求19的方法,其中所述形成所述低k介质材料的步骤包括等离子体增强化学气相沉积(PECVD)、化学气相沉积(CVD)、高密度等离子体(HDP)沉积、脉冲PECVD、或者旋涂施加。
21.根据权利要求19的方法,其中所述形成所述低k介质材料的步骤包括PECVD工艺,其中至少第一前体包括Si、C、O和H原子,并且将惰性载体引入反应室。
22.根据权利要求19的方法,其中所述形成所述硬掩模的步骤包括高密度等离子体(HPD)沉积、下游HPD沉积、电子回旋加速器谐振辅助PECVD、等离子体增强原子层沉积(PE ALD)或ALD。
23.根据权利要求19的方法,其中所述形成所述硬掩模的步骤包括选择两种或多种前体分子的步骤,所述前体分子以单个气流或混合物被引入反应室。
24.根据权利要求23的方法,其中所述两种或多种前体分子包括Si或有机硅源、氧源、以及可选的碳源。
25.根据权利要求24的方法,其中所述氧源在开始沉积时被过量提供,并在以后的沉积中被减少,以提供稳定状态的沉积。
26.根据权利要求19的方法,还包括用选自热量、电子束、等离子体、UV、激光及其组合的能源处理所述硬掩模。
27.根据权利要求19的方法,其中所述形成所述至少一个开口的步骤包括至少一个光刻和蚀刻工艺。
28.根据权利要求19的方法,其中所述形成所述至少一个开口的步骤包括形成过孔开口和布线开口。
29.根据权利要求19的方法,还包括重复以下步骤:形成所述低k介质材料、形成硬掩模、提供至少一个开口、形成衬里、以及填充至少一个开口以提供多级互连结构。
CNB2005101173780A 2004-11-04 2005-11-03 互连结构及其形成方法 Expired - Fee Related CN100388477C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/981,233 2004-11-04
US10/981,233 US7335980B2 (en) 2004-11-04 2004-11-04 Hardmask for reliability of silicon based dielectrics

Publications (2)

Publication Number Publication Date
CN1783479A true CN1783479A (zh) 2006-06-07
CN100388477C CN100388477C (zh) 2008-05-14

Family

ID=36260892

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005101173780A Expired - Fee Related CN100388477C (zh) 2004-11-04 2005-11-03 互连结构及其形成方法

Country Status (2)

Country Link
US (3) US7335980B2 (zh)
CN (1) CN100388477C (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102142367A (zh) * 2010-01-29 2011-08-03 台湾积体电路制造股份有限公司 集成电路的制造方法
CN105826237A (zh) * 2015-01-06 2016-08-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN107431144A (zh) * 2015-04-16 2017-12-01 法国圣戈班玻璃厂 用于oled的分层结构和制造这样的结构的方法
US9966280B2 (en) 2012-10-05 2018-05-08 Tokyo Electron Limited Process gas generation for cleaning of substrates
CN110544671A (zh) * 2019-08-26 2019-12-06 上海新微技术研发中心有限公司 半导体结构的形成方法
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7642202B1 (en) * 2001-06-28 2010-01-05 Novellus Systems, Inc. Methods of forming moisture barrier for low k film integration with anti-reflective layers
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7223670B2 (en) * 2004-08-20 2007-05-29 International Business Machines Corporation DUV laser annealing and stabilization of SiCOH films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
KR100632658B1 (ko) * 2004-12-29 2006-10-12 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US7351656B2 (en) * 2005-01-21 2008-04-01 Kabushiki Kaihsa Toshiba Semiconductor device having oxidized metal film and manufacture method of the same
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7790630B2 (en) * 2005-04-12 2010-09-07 Intel Corporation Silicon-doped carbon dielectrics
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US20070010070A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Fabrication of strained semiconductor-on-insulator (ssoi) structures by using strained insulating layers
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7620013B2 (en) * 2005-12-21 2009-11-17 Broadcom Corporation Method and system for decoding control channels using repetition redundancy
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
KR100717501B1 (ko) * 2005-12-29 2007-05-14 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
US20070173070A1 (en) * 2006-01-26 2007-07-26 Mei-Ling Chen Porous low-k dielectric film and fabrication method thereof
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) * 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
JP5277552B2 (ja) * 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US20090061649A1 (en) 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US20090104541A1 (en) * 2007-10-23 2009-04-23 Eui Kyoon Kim Plasma surface treatment to prevent pattern collapse in immersion lithography
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100151206A1 (en) * 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
JP2010171081A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置及びその製造方法
US10032569B2 (en) * 2009-08-26 2018-07-24 University Of Maryland, College Park Nanodevice arrays for electrical energy storage, capture and management and method for their formation
US8912522B2 (en) * 2009-08-26 2014-12-16 University Of Maryland Nanodevice arrays for electrical energy storage, capture and management and method for their formation
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8252699B2 (en) * 2010-11-22 2012-08-28 Applied Materials, Inc. Composite removable hardmask
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
KR101829281B1 (ko) * 2011-06-29 2018-02-20 삼성전자주식회사 인-시츄 공정을 이용한 산화막/질화막/산화막(ono) 구조의 절연막 형성 방법
WO2013101028A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Avd hardmask for damascene patterning
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
CN103633018B (zh) * 2012-08-29 2016-03-16 中芯国际集成电路制造(上海)有限公司 互连结构的形成方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8835306B2 (en) * 2013-02-01 2014-09-16 GlobalFoundries, Inc. Methods for fabricating integrated circuits having embedded electrical interconnects
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8927442B1 (en) 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR20160011150A (ko) * 2014-07-18 2016-01-29 어플라이드 머티어리얼스, 인코포레이티드 라디칼 경화에 의해 보조되는 다공성 탄소-도핑된 산화물 막들의 플라즈마-강화 및 라디칼-기반 cvd
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP6710204B2 (ja) * 2014-10-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9953841B2 (en) * 2015-05-08 2018-04-24 Macronix International Co., Ltd. Semiconductor device and method of fabricating the same
KR102460075B1 (ko) * 2016-01-27 2022-10-31 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US9847221B1 (en) * 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN112469846B (zh) * 2018-07-24 2023-10-27 朗姆研究公司 使用异质前体相互作用的硅碳化物膜的保形沉积
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
KR20230085953A (ko) 2018-10-19 2023-06-14 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11681213B2 (en) 2019-02-21 2023-06-20 International Business Machines Corporation EUV pattern transfer using graded hardmask
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
CN113161284A (zh) 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2104340A1 (en) * 1992-08-31 1994-03-01 Grish Chandra Hermetic protection for integrated circuits
US6417092B1 (en) * 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6737727B2 (en) 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US6570256B2 (en) * 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US6699784B2 (en) * 2001-12-14 2004-03-02 Applied Materials Inc. Method for depositing a low k dielectric film (K>3.5) for hard mask application
US6638871B2 (en) * 2002-01-10 2003-10-28 United Microlectronics Corp. Method for forming openings in low dielectric constant material layer
US20040185674A1 (en) * 2003-03-17 2004-09-23 Applied Materials, Inc. Nitrogen-free hard mask over low K dielectric
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
US20040248400A1 (en) * 2003-06-09 2004-12-09 Kim Sun-Oo Composite low-k dielectric structure

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102142367A (zh) * 2010-01-29 2011-08-03 台湾积体电路制造股份有限公司 集成电路的制造方法
CN102142367B (zh) * 2010-01-29 2013-03-13 台湾积体电路制造股份有限公司 集成电路的制造方法
US9966280B2 (en) 2012-10-05 2018-05-08 Tokyo Electron Limited Process gas generation for cleaning of substrates
TWI647756B (zh) * 2012-10-05 2019-01-11 東京威力科創股份有限公司 清潔基板用之處理氣體產生
CN105826237A (zh) * 2015-01-06 2016-08-03 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
CN107431144A (zh) * 2015-04-16 2017-12-01 法国圣戈班玻璃厂 用于oled的分层结构和制造这样的结构的方法
CN107431144B (zh) * 2015-04-16 2019-10-01 法国圣戈班玻璃厂 用于oled的分层结构和制造这样的结构的方法
CN110648961A (zh) * 2018-06-27 2020-01-03 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US11749563B2 (en) 2018-06-27 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interlayer dielectric layer
CN110544671A (zh) * 2019-08-26 2019-12-06 上海新微技术研发中心有限公司 半导体结构的形成方法

Also Published As

Publication number Publication date
CN100388477C (zh) 2008-05-14
US20080132055A1 (en) 2008-06-05
US7485582B2 (en) 2009-02-03
US20060091559A1 (en) 2006-05-04
US20080118717A1 (en) 2008-05-22
US7335980B2 (en) 2008-02-26

Similar Documents

Publication Publication Date Title
CN100388477C (zh) 互连结构及其形成方法
CN101138085B (zh) 电介质叠层及其形成方法
TWI402887B (zh) 用以整合具有改良可靠度之超低k介電質之結構與方法
US7948083B2 (en) Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
CN101006559B (zh) SiCOH膜的DUV激光退火及稳定性
CN100533681C (zh) 先进的低介电常数有机硅等离子体化学汽相沉积膜
US7439174B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
CN100479146C (zh) 互连结构及其形成方法
US20090093100A1 (en) Method for forming an air gap in multilevel interconnect structure
US20050266681A1 (en) Formation of low resistance via contacts in interconnect structures
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
KR20100017957A (ko) 반도체 장치의 제조 방법, 반도체 장치, 반도체 제조 장치 및 기억 매체
KR20050020612A (ko) 저유전율막을 형성하는 방법
US8674484B2 (en) Dielectric separator layer
US20100301495A1 (en) Semiconductor device and method for manufacturing same
US6703302B2 (en) Method of making a low dielectric insulation layer
US20040266216A1 (en) Method for improving uniformity in deposited low k dielectric material
US7138333B2 (en) Process for sealing plasma-damaged, porous low-k materials
US6281113B1 (en) Method for forming an interplayer insulating film and semiconductor device
US7422020B2 (en) Aluminum incorporation in porous dielectric for improved mechanical properties of patterned dielectric
JP2009117673A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171031

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171031

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080514

Termination date: 20191103

CF01 Termination of patent right due to non-payment of annual fee