TWI588879B - 鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程 - Google Patents

鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程 Download PDF

Info

Publication number
TWI588879B
TWI588879B TW104139954A TW104139954A TWI588879B TW I588879 B TWI588879 B TW I588879B TW 104139954 A TW104139954 A TW 104139954A TW 104139954 A TW104139954 A TW 104139954A TW I588879 B TWI588879 B TW I588879B
Authority
TW
Taiwan
Prior art keywords
fin structure
insulating layer
fin
hard mask
dummy
Prior art date
Application number
TW104139954A
Other languages
English (en)
Other versions
TW201639015A (zh
Inventor
張家維
張安勝
劉志方
陳嘉仁
林嘉泰
彭治棠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201639015A publication Critical patent/TW201639015A/zh
Application granted granted Critical
Publication of TWI588879B publication Critical patent/TWI588879B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)

Description

鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程
本發明是有關於一種製備鰭式場效電晶體元件與控制鰭狀結構高度的方法。
積體電路(integrated circuit,IC)的製程正經歷快速的成長,在積體電路工業的發展過程中,元件尺寸的縮減使得單一晶圓上的元件密度大幅增加。元件尺寸的縮減帶來的好處例如為製程效率提升、成本縮減及/或元件性能的提升,然而同時也讓積體電路的製造過程變得更為複雜。
為了解決製程複雜性的增加,必要對積體電路的加工與製造過程進行改良。舉例來說,三維電晶體,例如鰭式場效電晶體(fin-like field-effect transistor,Fin-FET)已被引入以替換平面電晶體。在鰭式場效電晶體的製造過程中,必須進行不斷的改良以滿足特徵尺寸縮減下所需的性能要求。
本發明之一態樣係提供一種鰭式場效電晶體元件的製備方法,包含下列步驟。自一基板形成一主動鰭狀結構與一虛設鰭狀結構,並形成一絕緣層覆蓋主動鰭狀結構與虛設鰭狀結構。移除虛設鰭狀結構上方的絕緣層,以及選擇性蝕刻虛設鰭狀結構,且虛設鰭狀結構與絕緣層之間的一蝕刻選擇比值大於8。
根據本發明一或多個實施方式,形成該主動鰭狀結構與該虛設鰭狀結構的步驟包含:形成複數個鰭狀間隙壁於基板上,並通過此些鰭狀間隙壁移除部分基板。
根據本發明一或多個實施方式,更平坦化絕緣層。
根據本發明一或多個實施方式,蝕刻選擇比值介於8至15之間。
根據本發明一或多個實施方式,蝕刻選擇比值介於10至13之間。
本發明之另一態樣在於提供一種鰭式場效電晶體元件的製備方法,包含下列步驟。形成一硬罩幕層於一基板上,並形成複數個鰭狀間隙壁於硬罩幕層上。通過此些鰭狀間隙壁移除部分基板與部分硬罩幕層,以形成一主動鰭狀結構與一虛設鰭狀結構,以及一第一硬罩幕與一第二硬罩幕分別位於主動鰭狀結構與虛設鰭狀結構上。形成一絕緣層覆蓋第一硬罩幕與第二硬罩幕,並移除第二硬罩幕上方的絕緣層。移除第二硬罩幕,及移除絕緣層與虛設鰭狀結構,且虛 設鰭狀結構的一移除速度超過絕緣層的一移除速度的8倍。
根據本發明一或多個實施方式,更包含下列步驟。在移除絕緣層與虛設鰭狀結構後,再次填充絕緣層,並平坦化絕緣層以暴露第一硬罩幕。移除第一硬罩幕,並對應主動鰭狀結構的一上表面凹陷絕緣層。
本發明之另一態樣在於提供一種控制鰭狀結構高度的製程,包含下列步驟。自一基板形成一第一鰭狀結構與一第二鰭狀結構,並形成一絕緣層覆蓋第一鰭狀結構與第二鰭狀結構。移除第一鰭狀結構上方的絕緣層,並控制第一鰭狀結構與絕緣層之間的一第一蝕刻選擇比值,以減少第一鰭狀結構的一高度。重新填充絕緣層,並移除第二鰭狀結構上方的絕緣層。控制第二鰭狀結構與絕緣層之間的一第二蝕刻選擇比值,以減少第二鰭狀結構的一高度,且第一鰭狀結構與第二鰭狀結構具有不同高度。
根據本發明一或多個實施方式,第一鰭狀結構減少的高度大於第二鰭狀結構減少的高度,使第一鰭狀結構的高度小於第二鰭狀結構的高度。
根據本發明一或多個實施方式,第一鰭狀結構減少的高度小於第二鰭狀結構減少的高度,使第一鰭狀結構的高度大於第二鰭狀結構的高度。
100‧‧‧鰭式場效電晶體元件
110、510‧‧‧基板
320‧‧‧第二間隙壁層
322‧‧‧鰭狀間隙壁
120‧‧‧主動區
130‧‧‧絕緣區
140‧‧‧主動鰭狀結構
150‧‧‧虛設鰭狀結構
160、540‧‧‧絕緣層
170‧‧‧閘極
210‧‧‧硬罩幕層
212、522‧‧‧第一硬罩幕
214、532‧‧‧第二硬罩幕
220‧‧‧第一虛設圖案
230‧‧‧第一間隙壁層
232‧‧‧鰭狀間隙壁
310‧‧‧第二虛設圖案
410、415、541、542、543、544‧‧‧開口
520‧‧‧第一鰭狀結構
530‧‧‧第二鰭狀結構
550‧‧‧第一閘極
560‧‧‧第二閘極
910‧‧‧罩幕層
912‧‧‧底層
914‧‧‧中間層
916‧‧‧圖案化光阻層
T1、T2‧‧‧厚度
H1、H2、H3、H4‧‧‧高度
為讓本發明之上述和其他目的、特徵、優點與實施例能更明顯易懂,所附圖式之詳細說明如下:第1圖為本發明部分實施方式之一種鰭式場效電晶體元件;第2A圖至第2D圖為第1圖的鰭式場效電晶體元件在製備各個階段中以雙重曝光技術製備鰭狀間隙壁的剖視圖;第3A圖至第3G圖為第1圖的鰭式場效電晶體元件在製備各個階段中以四重曝光技術製備鰭狀間隙壁的剖視圖;第4A至4K圖為第1圖的鰭式場效電晶體元件在製備各個階段中沿著AA剖線的剖視圖;第5A至5H圖為控制鰭狀結構的高度的製程在各個階段中的剖視圖。
以下將以圖式及詳細說明清楚說明本發明之精神,任何所屬技術領域中具有通常知識者在瞭解本發明之較佳實施例後,當可由本發明所教示之技術,加以改變及修飾,其並不脫離本發明之精神與範圍。並為明確說明起見,許多實務上的細節將在以下敘述中一併說明。然而,熟悉本領域之技術人員應當瞭解到,在本發明部分實施方式中,這些實務上的細節並非必要的,因此不應用以限制本發明。此外,為簡化圖式起見,一些習知慣用的結構與元件在圖式中將以簡單示意的方式繪示之。
此外,說明書中提及形成第一特徵結構位於第二 特徵結構之上,其包括第一特徵結構與第二特徵結構是直接接觸的實施例,另外也包括於第一特徵結構與第二特徵結構之間另外有其他特徵結構的實施例,亦即,第一特徵結構與第二特徵結構並非直接接觸。且本發明於各個實施例中可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述結構之間的關係。
此外,相對詞彙,如『下』或『底部』與『上』或『頂部』,用來描述文中在附圖中所示的一元件與另一元件之關係。相對詞彙是用來描述裝置在附圖中所描述之外的不同方位是可以被理解的。例如,如果一附圖中的裝置被翻轉,元件將會被描述原為位於其它元件之『下』側將被定向為位於其他元件之『上』側。例示性的詞彙『下』,根據附圖的特定方位可以包含『下』和『上』兩種方位。同樣地,如果一附圖中的裝置被翻轉,元件將會被描述原為位於其它元件之『下方』或『之下』將被定向為位於其他元件上之『上方』。例示性的詞彙『下方』或『之下』,可以包含『上方』和『上方』兩種方位。
在半導體元件的關鍵尺寸(critical dimension)逐漸縮減下,製備鰭式場效電晶體元件時實施的鰭部切除(fin cut)製程的疊對誤差邊際(overlay error margin)也隨之減小,而較小的疊對誤差邊際更提升了製程的控制難度。通常會先形成複數個鰭狀間隙壁至基板上,並在自基板形成鰭狀結構之前或之後進行鰭部切除製程。舉例來說,一底層覆蓋此 些鰭狀間隙壁並作為遮罩,以移除不需要的鰭狀間隙壁。接著通過剩餘的鰭狀間隙壁蝕刻基板,而形成此些鰭狀結構。在另個例子中,先通過此些鰭狀間隙壁蝕刻基板,以形成多個鰭狀結構,並形成底層覆蓋此些鰭狀結構。之後再以底層作為遮罩以移除不需要的鰭狀結構。然而,底層的平坦度難以控制,從而導致鰭狀結構的損壞與殘留缺陷(residue defect)。此外,在之後的製程中退火鰭狀結構之間的絕緣層時,更會使鰭狀結構產生傾斜或彎曲。據此,業界亟需一種鰭部切除製程的改良方法。
第1圖為本發明部分實施方式之一種鰭式場效電晶體元件。一鰭式場效電晶體元件(fin field-effect transistor,Fin-FET)100包含一基板110,其具有一主動區120與一絕緣區130位於兩個主動區120之間。在鰭式場效電晶體元件100中具有功能性的一主動鰭狀結構140設置於主動區120中,且一絕緣層分離相鄰的主動鰭狀結構140。此外,一閘極170設置於主動鰭狀結構140上,且閘極170與主動鰭狀結構140的側壁重疊。在本發明之部分實施方式中,依據電路或元件的設計進行一鰭部切除製程以移除絕緣區130中不需要的鰭狀結構,換句話說,可對應欲製造的電路或元件的佈局(layout),使用鰭部切除製程移除不需要的鰭狀結構。在本發明之部分實施方式中,鰭部切除製程仍餘留一虛設鰭狀結構於絕緣區130中,且絕緣層160完全覆蓋此虛設鰭狀結構。
本發明的實施方式提供製備鰭式場效電晶體元件的一方法,其中包含進行鰭部切除製程以製備第1圖所示的 鰭式場效電晶體元件。在本發明的部分實施方式中,使用雙重曝光(double patterning)技術以形成用於當前與未來先進半導體製程節點(node)的精細結構。第2A圖至第2D圖為第1圖的鰭式場效電晶體元件在製備各個階段中以雙重曝光技術製備鰭狀間隙壁的剖視圖。
如第2A圖所示,提供一基板110,一硬罩幕層210與一第一虛設圖案220。硬罩幕層210形成至基板110上,而第一虛設圖案220形成至硬罩幕層210上。硬罩幕層210與第一虛設圖案220可以合適的沉積製程形成,例如化學氣相沉積製程或物理氣相沉積製程,但不以此為限。此外,更進行一圖案化製程已形成第一虛設圖案220,例如微影蝕刻。
在本發明之部分實施方式中,基板110可為塊狀矽基板,在本發明之其他部分實施方式中,基板110亦可為其它元素半導體材料,如結晶、多晶及/或非晶結構的矽(silicon)或鍺(germanium)。在本發明之其他部分實施方式中,基板110包含化合物的半導體材料,如碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide)。在本發明之其他部分實施方式中,基板110包含合金半導體材料,如矽化鍺(silicon germanium)、磷砷化鎵(gallium arsenide phosphide)、砷化鋁銦(aluminum indium arsenide)、砷化鋁鎵(aluminum gallium arsenide)、砷化銦鎵(indium gallium arsenide)、磷化銦鎵(indium gallium phosphide)及/或砷磷 化銦鎵(gallium indium arsenide phosphide),其他任何適合的材料,或上述的組合。
於本發明其他部分實施例中,基板110可為絕緣體上覆矽(semiconductor-on-insulator,SOI)基板。絕緣層上覆矽基板可由氧離子佈植隔離矽晶(separation by implantation of oxygen,SIMOX)、晶圓接合(wafer bonding)及/或其它合適的方法形成,而合適的絕緣層可為埋入氧化物層(buried oxide layer,BOX)。
在本發明其他部分實施方式中,硬罩幕層210包含的材料例如為二氧化矽、氮化矽或氮氧化矽,而第一虛設圖案220可包含碳基聚合物,非晶碳薄膜、非晶矽、多晶矽,或其他能以有效的方式圖案化或選擇性蝕刻的材料。
在第2B圖中,形成一第一間隙壁層230至第一虛設圖案220上。第一間隙壁層230覆蓋第一虛設圖案220的上表面與側壁,且第一間隙壁層230包含界電材料,例如:氧化矽、氮化矽或氮氧化矽。在本發明之部分實施方式中,可以合適的沉積製程形成第一間隙壁層230,例如化學氣相沉積製程、物理氣相沉積製程或原子層沉積法。
在第2C圖中,移除部分的第一間隙壁層230。在此步驟中進行一非等向性蝕刻製程,以使第一間隙壁層230餘留於第一虛設圖案220的側壁。具體而言,位於第一虛設圖案220上表面的上第一間隙壁層230被移除,且位於硬罩幕層210的表面上的第一間隙壁層230也同時被移除。藉此只餘留第一間隙壁層230於第一虛設圖案220的側壁,其又稱為鰭狀間隙 壁232。在本發明之部分實施方式中,使用一電漿蝕刻製程以移除部分的第一間隙壁層230。
在第2D圖中,移除第一虛設圖案220。可使用任何合適的蝕刻製程移除第一虛設圖案220,例如乾蝕刻或濕蝕刻,但鰭狀間隙壁232在蝕刻製程中不會被移除,而仍餘留在硬罩幕層210上。
在本發明其他部分實施方式中,是使用四重曝光(quadrup patterning)技術製備鰭狀間隙壁。此處的四重曝光技術是指重複兩次雙重曝光技術,以使鰭狀間隙壁之間的間距進一步的縮小。第3A圖至第3G圖為第1圖的鰭式場效電晶體元件在製備各個階段中以四重曝光技術製備鰭狀間隙壁的剖視圖。
如第3A圖所示,提供一基板110,一硬罩幕層210與一第一虛設圖案220。硬罩幕層210形成至基板110上,而第一虛設圖案220形成至硬罩幕層210上。硬罩幕層210與第一虛設圖案220可以合適的沉積製程形成,例如化學氣相沉積製程或物理氣相沉積製程,但不以此為限。此外,更進行一圖案化製程已形成第一虛設圖案220,例如微影蝕刻。
在第3B圖中,形成一第一間隙壁層230至第一虛設圖案220上。第一間隙壁層230覆蓋第一虛設圖案220的上表面與側壁,且第一間隙壁層230包含介電材料,例如:氧化矽、氮化矽或氮氧化矽。
在第3C圖中,移除部分的第一間隙壁層230。在此步驟中進行一非等向性蝕刻製程,以使第一間隙壁層230餘 留於第一虛設圖案220的側壁。具體而言,位於第一虛設圖案220上表面上的第一間隙壁層230被移除,且位於硬罩幕層210的表面上的第一間隙壁層230也同時被移除。藉此只餘留第一間隙壁層230於第一虛設圖案220的側壁,其又稱為第二虛設圖案310。
在第3D圖中,移除第一虛設圖案220。可使用任何合適的蝕刻製程移除第一虛設圖案220,例如乾蝕刻或濕蝕刻,但第二虛設圖案310在蝕刻製程中不會被移除,而仍餘留在硬罩幕層210上。
在第3E圖中,形成一第二間隙壁層320至第二虛設圖案310上。第二間隙壁層320覆蓋第二虛設圖案310的上表面與側壁,且第二間隙壁層320包含介電材料,例如:氧化矽、氮化矽或氮氧化矽。
接著在第3F圖中,移除部分的第二間隙壁層320。在此步驟中進行一非等向性蝕刻製程,以使第二間隙壁層320餘留於第二虛設圖案310的側壁。具體而言,位於第二虛設圖案310上表面上的第二間隙壁層320被移除,且位於硬罩幕層210的表面上的第二間隙壁層320也同時被移除。藉此只餘留第二間隙壁層320於第二虛設圖案310的側壁,其又稱為鰭狀間隙壁322。
之後在第3G圖中,移除第二虛設圖案310。可使用任何合適的蝕刻製程移除第二虛設圖案310,例如乾蝕刻或濕蝕刻,但鰭狀間隙壁322在蝕刻製程中不會被移除,而仍餘留在硬罩幕層210上。藉由四重曝光技術製備,相鄰的鰭狀間 隙壁322之間的間距可進一步縮減。
需注意的是,本發明其後敘述的實施方式之步驟是接續第2D圖,以製備第1圖的鰭式場效電晶體元件,但不以此為限。由於以四重曝光技術製備的鰭狀間隙壁可具有更小的間距,因此在本發明之其他部分實施方式中亦能使用第3G圖的鰭狀間隙壁以製備第1圖所示的鰭式場效電晶體元件。
接著參閱第4A至4K圖,這些圖示進一步闡述第1圖所示的鰭式場效電晶體元件的製備方法。第4A至4K圖為第1圖的鰭式場效電晶體元件在製備各個階段中沿著AA剖線的剖視圖,且在2D圖中製備的鰭狀間隙壁232作為遮罩以自基板110形成鰭狀結構。
如第4A圖所示,自基板110形成主動鰭狀結構140與虛設鰭狀結構150。在此步驟中,移除部分的基板110以形成主動鰭狀結構140與虛設鰭狀結構150。此外,更同時移除部分的硬罩幕層220以餘留一第一硬罩幕212至主動鰭狀結構140上,以及一第二硬罩幕214至虛設鰭狀結構150。在第4A圖中,將鰭狀間隙壁232作為遮罩進行一非等向性蝕刻製程,以通過鰭狀間隙壁232移除部分的基板110與硬罩幕層210。藉此,形成主動鰭狀結構140、虛設鰭狀結構150、第一硬罩幕212與第二硬罩幕214。在蝕刻製程後,再以乾蝕刻製程移除鰭狀間隙壁232,且乾蝕刻製程使用的電漿氣體包含四氟化碳、二氟甲烷、或其組合。另一方面,也可用濕蝕刻製程移除鰭狀間隙壁232,且濕蝕刻製程使用的溶液包含四甲基氫氧化銨或氨水。
在第4B圖中,形成一絕緣層160覆蓋主動鰭狀結構140與虛設鰭狀結構150。具體而言,絕緣層160更覆蓋於第一硬罩幕212與第二硬罩幕214上。絕緣層160設置於基板110上,而主動鰭狀結構140與虛設鰭狀結構150則嵌於此絕緣層160內。此外,在第一硬罩幕212與第二硬罩幕214上方的絕緣層160具有一厚度T1。在形成覆蓋主動鰭狀結構140與虛設鰭狀結構150的絕緣層160,更對絕緣層160進行退火。在本發明之部分實施方式中,絕緣層160包含氧化矽、氮化矽、但氧化矽、或其組合。在本發明之部分實施方式中,可使用合適的沉積製程,例如化學/物理氣相沉積製程來形成絕緣層160。
在第4C圖中,平坦化絕緣層160的一上表面。在此步驟中,先進行第一化學機械研磨(chemical mechanical planarization,CMP)製程,以平坦化絕緣層160的上表面。第一化學機械研磨製程更使第一硬罩幕212與第二硬罩幕214上方絕緣層160的厚度自厚度T1減少至厚度T2。然而,在實際操作上較難控制第一化學機械研磨製程停止於厚度T2。在此前提下,依據本發明之其他部分實施方式,第一化學機械研磨先將第一硬罩幕212與第二硬罩幕214上方的絕緣層160完全移除,直到暴露出第一硬罩幕212與第二硬罩幕214為止,而完成平坦化絕緣層160的上表面之步驟。接著,沉積絕緣材料(例如:氧化矽、氮化矽與氮氧化矽)至此平坦的上表面上,而使絕緣層160形成厚度T2於第一硬罩幕212與第二硬罩幕214上方。
在第4D圖中,形成一罩幕層910至絕緣層160 上。此罩幕層910的圖案用於定義絕緣層160中的主動區120與絕緣區130,且在後續的製程中會移除絕緣區130中的虛設鰭狀結構150。罩幕層910為多層結構,包含底層912、中間層914以及圖案化光阻層916。由於在先前的步驟中已先平坦化絕緣層160的上表面,因此罩幕層910可形成於平坦的表面上以確保底層912、中間層914以及圖案化光阻層916都具有均勻厚度。在本發明之部分實施方式中,中間層914包含抗反射(anti-reflective,ARC)材料或背面抗反射(backside anti-reflective,BARC)材料以增加圖案化光阻層916曝光與聚焦的精確度,而底層912包含碳基聚合物。
繼續參閱第4E圖,以圖案化光阻層916作為遮罩,圖案化其下的中間層914與底層912,以移除在虛設鰭狀結構150正上方的絕緣層160與底層912,且中間層914亦在此步驟中被移除。藉此,使位於虛設鰭狀結構150上的第二硬罩幕214暴露出來。在此之後,即移除底層912以形成第4F圖所示的結構。在本發明之部分實施方式中,是以乾蝕刻製程移除絕緣層160位於虛設鰭狀結構150正上方的部分,且乾蝕刻製程使用氟系氣體的電漿。
繼續參閱第4G圖,移除第二硬罩幕214。在移除絕緣層160位於虛設鰭狀結構150正上方的部分後,即進行一蝕刻製程以移除第二硬罩幕214,而形成一開口410暴露虛設鰭狀結構150。需注意的是,第一硬罩幕212因具有絕緣層160的保護,而仍會餘留在主動鰭狀結構140上。在本發明之 部分實施方式中,係以濕蝕刻製程移除第二硬罩幕214,且濕蝕刻製程使用磷酸溶液。在本發明之其他部分實施方式中,係以乾蝕刻製程移除第二硬罩幕214,且乾蝕刻製程使用的電漿氣體包含氟甲烷、二氟甲烷、氧氣、或其組合。
繼續參閱第4H圖,通過開口410以選擇性蝕刻虛設鰭狀結構150,並形成開口415。在此步驟中,絕緣層160作為遮罩以進行鰭部切除製程。在習知的技術中,通常是以碳基聚合物層作為遮罩以移除不需要的鰭狀結構。然而,碳基聚合物層的均勻度難以控制,且在移除不需要的鰭狀結構時往往會連帶著移除覆蓋住預定留下的鰭狀結構之碳基聚合物層。在此狀況下,將會導致此些鰭狀結構的損壞與殘留缺陷。但在本發明之實施方式中,先進行第一平坦化製程以確保絕緣層160具有良好的均勻程度,使其有利於作為遮罩並提升鰭部切除製程的容許範圍(window)。具體而言,本發明實施方式中的鰭部切除製程較容易控制,而能避免移除覆蓋住主動鰭狀結構140的絕緣層160。另一方面,使用絕緣層160作為遮罩更有利於在選擇性蝕刻虛設鰭狀結構150時,控制開口415的形狀與關鍵尺寸。在選擇性蝕刻虛設鰭狀結構150時,虛設鰭狀結構150的移除速度為絕緣層160的移除速度的8至15倍,以控制開口415的形狀與關鍵尺寸。換句話說,虛設鰭狀結構150與絕緣層160之間的一蝕刻選擇比值控制於8至15之間。須注意的是,此蝕刻選擇比值必須大於8,也就是說虛設鰭狀結構150的移除速度會超過絕緣層160的移除速度的8倍,以確保鰭部切除製程不會影響到主動鰭狀結構140的外型。若此蝕刻選擇 比值小於8,則相鄰於虛設鰭狀結構150的絕緣層160將會同時被移除,並暴露出主動鰭狀結構140的側壁。在此情況下,鰭部切除製程將會影響到主動鰭狀結構140的外型。在本發明之部分實施方式中,虛設鰭狀結構150以一乾蝕刻製程進行選擇性蝕刻,且乾蝕刻製程的電漿氣體包含溴化氫、氯氣、氧氣、氮氣、或上述之組合,但不以此為限。在本發明之其他部分實施方式中,虛設鰭狀結構150以一濕蝕刻製程進行選擇性蝕刻,且該濕蝕刻製程的溶液包含四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、氨水、或上述之組合,但不以此為限。在本發明之其他部分實施方式中,此蝕刻選擇比值介於10至13之間。
如第4H圖所示,在選擇性蝕刻後,虛設鰭狀結構150在基板110上具有一高度H1,但不以此為限。在本發明之部分實施方式中,可控制蝕刻選擇比值以完全移除基板110上的虛設鰭狀結構150。在本發明之其他部分實施方式中,控制蝕刻選擇比值以調控基板110上的高度H1。此外,在後續製程中會再次填充與絕緣層160相同的材料於開口415中。當控制蝕刻選擇比值以餘留較大高度H1的虛設鰭狀結構150於基板110上時,即可降低重新填充材料所耗費的機械負荷,藉此提高後續製程的效率。
在第4I圖中,填充與絕緣層160相同的材料於開口415中,並進行一第二機械研磨製程以平坦化絕緣層160的上表面。第二機械研磨製程移除多餘的材料,並停止於第一硬罩幕212以確保絕緣層160具有平坦的上表面。在本發明之部 分實施方式中,填充的材料可不同於絕緣層160的材料。
在第4J圖中,移除第一硬罩幕212,並相對於主動鰭狀結構140的上表面凹陷絕緣層160。如前所述,第二機械研磨製程停止於第一硬罩幕212以暴露第一硬罩幕212。之後,進行一蝕刻製程以移除第一硬罩幕212,此外更進行一回蝕(etching back)製程以凹陷絕緣層160,藉此使絕緣層160的上表面低於主動鰭狀結構140的上表面。但,絕緣層160的上表面仍高於虛設鰭狀結構150的上表面,代表絕緣層160仍會完全覆蓋虛設鰭狀結構150。在本發明之部分實施方式中,係以濕蝕刻製程移除第一硬罩幕212,且濕蝕刻製程使用磷酸溶液。在本發明之其他部分實施方式中,係以濕蝕刻製程凹陷絕緣層160,且濕蝕刻製程使用氟酸溶液。
在第4K圖中,形成閘極170至主動鰭狀結構140上,且閘極170與主動鰭狀結構140的側壁重疊。閘極170的材質包含多晶矽、多晶矽鍺、氮化矽或其他合適的材料。可使用合適的方式形成閘極170,包含沉積與圖案化製程。圖案化製程更包含微影與蝕刻製程。在各個實施方式中,沉積方式包含化學氣相沉積、物理氣相沉積、原子層沉積、熱氧化、其他合適的方式,或其組合。在微影製程中包含下列步驟,先塗佈(例如:旋轉塗佈)一光阻層、軟烘烤光阻層、光罩對準、曝光並烘烤光阻層、顯影光阻層、清洗、乾糙(例如:硬烘烤)、其他合適的製程、及/或上述的組合。蝕刻製程包含濕蝕刻、乾蝕刻、及/或其他蝕刻方式(例如:反應性離子蝕刻)。在本發明之部分實施方式中,在高溫高熱製程(例如在源極/汲極的形 成過程中對源極/汲極區域進行熱退火以使其活化)後,即可將閘極170置換成高介電系數(high-k)介電層與金屬閘電極。
如前所述,可調控蝕刻選擇比值以調控虛設鰭狀結構150的高度H1。在此基礎上,本發明之其他部分實施方式提供一種控制鰭狀結構高度的製程。如第5A圖所示,第5A圖所示,自一基板510形成一第一鰭狀結構520與一第二鰭狀結構530,且第一鰭狀結構520與第二鰭狀結構530均具有高度H2。具體而言,是移除部分的基板510而形成第一鰭狀結構520與第二鰭狀結構530。此外,一第一硬罩幕522設置於第一鰭狀結構520上,而一第二硬罩幕532設置於第二鰭狀結構530上,其中第一硬罩幕522與第二硬罩幕532係形成自一硬罩幕層。再者,一絕緣層540覆蓋於第一鰭狀結構520與第二鰭狀結構530上,且絕緣層540的上表面經平坦化。具體而言,絕緣層540也覆蓋第一硬罩幕522與第二硬罩幕532。
在第5B圖中,移除絕緣層540位於第一鰭狀結構520正上方的部分,以暴露第一硬罩幕522。接著移除第一硬罩幕522以形成開口541暴露第一鰭狀結構520。可藉由第4D圖所示,具有底層、中間層以及圖案化光阻層的罩幕層移除部分的絕緣層540,而細節在此不再詳述。在本發明之部分實施方式中,係以濕蝕刻製程移除第一硬罩幕522,且濕蝕刻製程使用磷酸溶液。在本發明之其他部分實施方式中,係以乾蝕刻製程移除第一硬罩幕522,且乾蝕刻製程使用的電漿氣體包含氟甲烷、二氟甲烷、氧氣、或其組合。
在第5C圖中,選擇性蝕刻第一鰭狀結構520,以形成開口542。在此步驟中,通過開口541以選擇性蝕刻第一鰭狀結構520,使第一鰭狀結構520的高度自高度H2減少至高度H3,而餘留開口542。在此選擇性蝕刻製程中,控制第一鰭狀結構520與絕緣層540之間的第一蝕刻選擇比值,以使第一鰭狀結構520餘留高度H3於基板510上。在本發明之部分實施方式中,第一鰭狀結構520以一乾蝕刻製程進行選擇性蝕刻,且乾蝕刻製程的電漿氣體包含溴化氫、氯氣、氧氣、氮氣、或上述之組合,但不以此為限。在本發明之其他部分實施方式中,第一鰭狀結構520以一濕蝕刻製程進行選擇性蝕刻,且該濕蝕刻製程的溶液包含四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、氨水、或上述之組合,但不以此為限。在本發明之部分實施方式中,第一蝕刻選擇比值大於8以於選擇性蝕刻第一鰭狀結構520時,控制開口542的形狀與關鍵尺寸。在本發明之其他部分實施方式中,第一蝕刻選擇比值介於8至15之間。在本發明之其他部分實施方式中,第一蝕刻選擇比值介於10至13之間。
接著參閱第5D圖,填充與絕緣層540相同的材料於開口542中,並進行一機械研磨製程以平坦化絕緣層540的上表面。
之後在第5E圖中,移除絕緣層540位於第二鰭狀結構530正上方的部分,以暴露第二硬罩幕532。接著移除第二硬罩幕532以形成開口543暴露第二鰭狀結構530。可藉由第4D圖所示,具有底層、中間層以及圖案化光阻層的罩幕層移 除部分的絕緣層540,而細節在此不再詳述。在本發明之部分實施方式中,係以濕蝕刻製程移除第二硬罩幕532,且濕蝕刻製程使用磷酸溶液。在本發明之其他部分實施方式中,係以乾蝕刻製程移除第二硬罩幕532,且乾蝕刻製程使用的電漿氣體包含氟甲烷、二氟甲烷、氧氣、或其組合。
在第5F圖中,選擇性蝕刻第二鰭狀結構530,以形成開口544。在此步驟中,通過開口543以選擇性蝕刻第二鰭狀結構530,使第二鰭狀結構530的高度自高度H2減少至高度H4,而餘留開口544。在此選擇性蝕刻製程中,控制第二鰭狀結構530與絕緣層540之間的第二蝕刻選擇比值,以使第二鰭狀結構530餘留高度H4於基板510上。在本發明之部分實施方式中,第二鰭狀結構530以一乾蝕刻製程進行選擇性蝕刻,且乾蝕刻製程的電漿氣體包含溴化氫、氯氣、氧氣、氮氣、或上述之組合,但不以此為限。在本發明之其他部分實施方式中,第二鰭狀結構530以一濕蝕刻製程進行選擇性蝕刻,且濕蝕刻製程的溶液包含四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、氨水、或上述之組合,但不以此為限。在本發明之部分實施方式中,第二蝕刻選擇比值大於8。在本發明之其他部分實施方式中,第二蝕刻選擇比值介於8至15之間。在本發明之其他部分實施方式中,第二蝕刻選擇比值介於10至13之間。
如第5F圖所示,由於第一鰭狀結構520減少的高度大於第二鰭狀結構530減少的高度,因此第一鰭狀結構520的最後的高度H3會低於第二鰭狀結構530最後的高度H4。此 處所述的「減少的高度」意指鰭狀結構520與530在選擇性蝕刻中減少的高度,也就是鰭狀結構520與530的蝕刻深度。藉由分別控制鰭狀結構520與530與絕緣層540之間不同的蝕刻選擇比值,可製備具有不同高度的鰭狀結構於鰭式場效電晶體元件中,而提升其應用範圍。在本發明之其他部分實施方式中,第一鰭狀結構520減少的高度小於第二鰭狀結構530減少的高度,因此第一鰭狀結構520的最後的高度H3會大於第二鰭狀結構530最後的高度H4。
在第5G圖中,相對於第一鰭狀結構520與第二鰭狀結構530的上表面凹陷絕緣層540。進行一回蝕(etching back)製程以凹陷絕緣層540,藉此使絕緣層540的上表面低於第一鰭狀結構520與第二鰭狀結構530的上表面。具體而言,絕緣層540在基板510上的厚度小於第一鰭狀結構520與第二鰭狀結構530的高度H3與H4。在本發明之其他部分實施方式中,係以濕蝕刻製程凹陷絕緣層540,且濕蝕刻製程使用氟酸溶液。
最後在第5H圖中,形成第一閘極550至第一鰭狀結構520上,且第一閘極550與第一鰭狀結構520的側壁重疊,並形成第二閘極560至第二鰭狀結構530上,且第二閘極560與第二鰭狀結構530的側壁重疊。第一閘極550與第二閘極560的材質包含多晶矽、多晶矽鍺、氮化矽或其他合適的材料。在本發明之部分實施方式中,在高溫高熱製程(例如在源極/汲極的形成過程中對源極/汲極區域進行熱退火以使其活化)後,即可將第一閘極550與第二閘極560置換成高介電 系數(high-k)介電層與金屬閘電極。
由上述本發明實施例可知,本發明相較於現有的製程,具有下列優點。在本發明之部分實施方式中,絕緣層作為遮罩以於鰭部切除製程中保護主動鰭狀結構,且更進行一機械研磨製程以確保絕緣層的均勻度,因此以其作為遮罩將有利於降低主動鰭狀結構的損害風險。再者,虛設鰭狀結構與絕緣層之間的蝕刻選擇比值控制於8以上,以確保在鰭部切除製程中不會影響到主動鰭狀結構的外型。此外,更可控制此蝕刻選擇比值以控制鰭狀結構餘留在基板上的高度,而提升了製成效率。
另一方面,在塗佈罩幕層前也先平坦化絕緣層的上表面,藉此增加形成的罩幕層的均勻度,更增加罩幕層曝光聚焦的精確度。綜前所述,本發明提供一種製程方法,其能避免預定留下的鰭狀結構產生損壞與殘留缺陷,而使鰭式場效電晶體元件的運作更為穩定。
本發明之一態樣在於提供一種鰭式場效電晶體元件的製備方法,包含下列步驟。自一基板形成一主動鰭狀結構與一虛設鰭狀結構,並形成一絕緣層覆蓋主動鰭狀結構與虛設鰭狀結構。移除虛設鰭狀結構上方的絕緣層,以及選擇性蝕刻虛設鰭狀結構,且虛設鰭狀結構與絕緣層之間的一蝕刻選擇比值大於8。
本發明之另一態樣在於提供一種鰭式場效電晶體元件的製備方法,包含下列步驟。形成一硬罩幕層於一基板上,並形成複數個鰭狀間隙壁於硬罩幕層上。通過此些鰭 狀間隙壁移除部分基板與部分硬罩幕層,以形成一主動鰭狀結構與一虛設鰭狀結構,以及一第一硬罩幕與一第二硬罩幕分別位於主動鰭狀結構與虛設鰭狀結構上。形成一絕緣層覆蓋第一硬罩幕與第二硬罩幕,並移除第二硬罩幕上方的絕緣層。移除第二硬罩幕,及移除絕緣層與虛設鰭狀結構,且虛設鰭狀結構的一移除速度超過絕緣層的一移除速度的8倍。
本發明之另一態樣在於提供一種控制鰭狀結構高度的製程,包含下列步驟。自一基板形成一第一鰭狀結構與一第二鰭狀結構,並形成一絕緣層覆蓋第一鰭狀結構與第二鰭狀結構。移除第一鰭狀結構上方的絕緣層,並控制第一鰭狀結構與絕緣層之間的一第一蝕刻選擇比值,以減少第一鰭狀結構的一高度。重新填充絕緣層,並移除第二鰭狀結構上方的絕緣層。控制第二鰭狀結構與絕緣層之間的一第二蝕刻選擇比值,以減少第二鰭狀結構的一高度,且第一鰭狀結構與第二鰭狀結構具有不同高度。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
110‧‧‧基板
120‧‧‧主動區
130‧‧‧絕緣區
140‧‧‧主動鰭狀結構
150‧‧‧虛設鰭狀結構
160‧‧‧絕緣層
170‧‧‧閘極

Claims (10)

  1. 一種鰭式場效電晶體元件的製備方法,包含:自一基板形成一主動鰭狀結構與一虛設鰭狀結構,其中該虛設鰭狀結構有複數個虛設鰭;形成一絕緣層覆蓋該主動鰭狀結構與該虛設鰭狀結構;移除該虛設鰭狀結構上方的該絕緣層以暴露該些虛設鰭;選擇性蝕刻該些虛設鰭之複數個第一部分,以形成複數個開口於該絕緣層中,且該虛設鰭狀結構與該絕緣層之間的一蝕刻選擇比值大於8;形成一絕緣材料於該絕緣層之該些開口中;以及凹陷該絕緣層與該絕緣材料。
  2. 如請求項1所述之製備方法,其中形成該主動鰭狀結構與該虛設鰭狀結構的步驟包含:形成複數個鰭狀間隙壁於該基板上;以及通過該些鰭狀間隙壁移除部分該基板。
  3. 如請求項1所述之製備方法,更包含平坦化該絕緣層。
  4. 如請求項1所述之製備方法,其中該蝕刻選擇比值介於8至15之間。
  5. 如請求項4所述之製備方法,其中該蝕刻選擇比值介於10至13之間。
  6. 一種鰭式場效電晶體元件的製備方法,包含:形成一硬罩幕層於一基板上;形成複數個鰭狀間隙壁於該硬罩幕層上;通過該些鰭狀間隙壁移除部分該基板與部分該硬罩幕層,以形成一主動鰭狀結構與一虛設鰭狀結構,以及一第一硬罩幕與一第二硬罩幕分別位於該主動鰭狀結構與該虛設鰭狀結構上;形成一絕緣層覆蓋該第一硬罩幕與該第二硬罩幕;移除該第二硬罩幕上方的該絕緣層;移除該第二硬罩幕;以及移除該絕緣層與該虛設鰭狀結構,且該虛設鰭狀結構的一移除速度超過該絕緣層的一移除速度的8倍。
  7. 如請求項6所述之製備方法,更包含:在移除該絕緣層與該虛設鰭狀結構後,再次填充該絕緣層;平坦化該絕緣層以暴露該第一硬罩幕;移除該第一硬罩幕;以及對應該主動鰭狀結構的一上表面凹陷該絕緣層。
  8. 一種控制鰭狀結構高度的製程,包含:自一基板形成一第一鰭狀結構與一第二鰭狀結構; 形成一絕緣層覆蓋該第一鰭狀結構與該第二鰭狀結構;移除該第一鰭狀結構上方的該絕緣層;控制該第一鰭狀結構與該絕緣層之間的一第一蝕刻選擇比值,以減少該第一鰭狀結構的一高度;重新填充該絕緣層;移除該第二鰭狀結構上方的該絕緣層;以及控制該第二鰭狀結構與該絕緣層之間的一第二蝕刻選擇比值,以減少該第二鰭狀結構的一高度,且該第一鰭狀結構與該第二鰭狀結構具有不同高度。
  9. 如請求項8所述之製程,其中該第一鰭狀結構減少的高度大於該第二鰭狀結構減少的高度,使該第一鰭狀結構的該高度小於該第二鰭狀結構的該高度。
  10. 如請求項8所述之製程,其中該第一鰭狀結構減少的高度小於該第二鰭狀結構減少的高度,使該第一鰭狀結構的該高度大於該第二鰭狀結構的該高度。
TW104139954A 2015-04-16 2015-11-30 鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程 TWI588879B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/688,885 US9704974B2 (en) 2015-04-16 2015-04-16 Process of manufacturing Fin-FET device

Publications (2)

Publication Number Publication Date
TW201639015A TW201639015A (zh) 2016-11-01
TWI588879B true TWI588879B (zh) 2017-06-21

Family

ID=57129936

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139954A TWI588879B (zh) 2015-04-16 2015-11-30 鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程

Country Status (4)

Country Link
US (1) US9704974B2 (zh)
KR (1) KR101720878B1 (zh)
CN (1) CN106057671B (zh)
TW (1) TWI588879B (zh)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9722050B2 (en) * 2015-09-04 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
EP3182461B1 (en) * 2015-12-16 2022-08-03 IMEC vzw Method for fabricating finfet technology with locally higher fin-to-fin pitch
CN107887272B (zh) * 2016-09-30 2021-05-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9799570B1 (en) * 2017-02-13 2017-10-24 International Business Machines Corporation Fabrication of vertical field effect transistors with uniform structural profiles
CN108735813B (zh) * 2017-04-24 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN108807534A (zh) * 2017-05-03 2018-11-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10541319B2 (en) 2017-08-30 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures having varied fin heights for semiconductor device
CN109560136B (zh) * 2017-09-26 2022-08-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN109585289B (zh) * 2017-09-28 2022-03-18 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN109786458B (zh) * 2017-11-13 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
DE102018103149B4 (de) * 2017-11-15 2024-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Finnen integrierter Schaltungsvorrichtungen und zugehöriges Herstellungsverfahren
US10396184B2 (en) 2017-11-15 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device fins
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10361125B2 (en) 2017-12-19 2019-07-23 International Business Machines Corporation Methods and structures for forming uniform fins when using hardmask patterns
KR102460716B1 (ko) 2017-12-26 2022-10-31 삼성전자주식회사 집적회로 소자의 제조 방법
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
US10964684B2 (en) * 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Company Ltd. Multiple fin height integrated circuit
CN110896031B (zh) * 2018-09-13 2023-06-02 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111106064B (zh) * 2018-10-29 2022-11-04 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
DE102019126809A1 (de) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiteranordnung und verfahren zu ihrer herstellung
US11195759B2 (en) 2018-11-30 2021-12-07 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
CN111627860B (zh) * 2019-02-28 2023-10-17 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111769046B (zh) * 2019-04-01 2023-11-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111863934B (zh) * 2019-04-30 2024-04-30 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN111564413A (zh) * 2020-03-03 2020-08-21 上海华力集成电路制造有限公司 鳍结构制作方法
US11837649B2 (en) 2020-04-21 2023-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selective removal of gate dielectric from dummy fin

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8703557B1 (en) * 2013-04-15 2014-04-22 Globalfoundries Inc. Methods of removing dummy fin structures when forming finFET devices
US20140291770A1 (en) * 2012-06-06 2014-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Making a FinFET Device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124423A (ja) * 2006-10-20 2008-05-29 Oki Electric Ind Co Ltd 半導体装置の製造方法及び半導体装置
US7989355B2 (en) * 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US8603893B1 (en) 2012-05-17 2013-12-10 GlobalFoundries, Inc. Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates
US9054212B2 (en) * 2012-10-30 2015-06-09 Globalfoundries Inc. Fin etch and Fin replacement for FinFET integration
US8846490B1 (en) * 2013-03-12 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US9412664B2 (en) * 2013-05-06 2016-08-09 International Business Machines Corporation Dual material finFET on single substrate
US9685380B2 (en) 2013-05-31 2017-06-20 Stmicroelectronics, Inc. Method to co-integrate SiGe and Si channels for finFET devices
US9530775B2 (en) 2013-06-12 2016-12-27 Globalfoundries Inc. Methods of forming different FinFET devices having different fin heights and an integrated circuit product containing such devices
US9105478B2 (en) * 2013-10-28 2015-08-11 Globalfoundries Inc. Devices and methods of forming fins at tight fin pitches
US9147612B2 (en) * 2013-11-25 2015-09-29 United Microelectronics Corp. Method for forming a semiconductor structure
US9620642B2 (en) * 2013-12-11 2017-04-11 Globalfoundries Singapore Pte. Ltd. FinFET with isolation
US9184169B2 (en) * 2014-04-10 2015-11-10 Globalfoundries Inc. Methods of forming FinFET devices in different regions of an integrated circuit product
US9281382B2 (en) * 2014-06-04 2016-03-08 Stmicroelectronics, Inc. Method for making semiconductor device with isolation pillars between adjacent semiconductor fins

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140291770A1 (en) * 2012-06-06 2014-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Making a FinFET Device
US8703557B1 (en) * 2013-04-15 2014-04-22 Globalfoundries Inc. Methods of removing dummy fin structures when forming finFET devices

Also Published As

Publication number Publication date
US20160308027A1 (en) 2016-10-20
TW201639015A (zh) 2016-11-01
CN106057671B (zh) 2019-06-14
KR20160123955A (ko) 2016-10-26
US9704974B2 (en) 2017-07-11
KR101720878B1 (ko) 2017-03-28
CN106057671A (zh) 2016-10-26

Similar Documents

Publication Publication Date Title
TWI588879B (zh) 鰭式場效電晶體元件的製備方法與控制鰭狀結構高度的製程
TWI416634B (zh) 形成積體電路結構的方法
CN103177950B (zh) 制造鳍器件的结构和方法
TWI509736B (zh) 半導體結構及其形成方法
TWI598946B (zh) 半導體裝置與其製造方法
TWI646685B (zh) 半導體裝置及其製造方法
US9123659B1 (en) Method for manufacturing finFET device
TWI511292B (zh) 形成具有替代通道材料之鰭式場效電晶體設備的方法
US10170367B2 (en) Semiconductor device and method
TWI648857B (zh) 半導體元件及其製作方法
US8497198B2 (en) Semiconductor process
JP2010537433A (ja) 異なる高さの隣接シリコンフィンを製造する方法
TWI618146B (zh) 在鰭式電晶體裝置上形成取代閘極結構之方法及其裝置
US8932936B2 (en) Method of forming a FinFET device
US10079143B2 (en) Method of forming semiconductor device having wick structure
JP2009158813A (ja) 半導体装置の製造方法、及び半導体装置
WO2019007335A1 (zh) 半导体器件及其制备方法
US9799728B2 (en) Three-dimensional transistor and fabrication method thereof
US10978335B2 (en) Method for producing a gate cut structure on an array of semiconductor fins
US11145760B2 (en) Structure having improved fin critical dimension control
TWI518792B (zh) 半導體製程
KR100886004B1 (ko) 반도체 소자 제조 방법
US10651092B2 (en) Semiconductor device and fabrication method thereof
CN111816555A (zh) 半导体器件及其形成方法
CN116153781A (zh) 半导体鳍状结构截断工艺