DE102022107920A1 - Filmabscheidung für einen strukturierungsprozess - Google Patents

Filmabscheidung für einen strukturierungsprozess Download PDF

Info

Publication number
DE102022107920A1
DE102022107920A1 DE102022107920.1A DE102022107920A DE102022107920A1 DE 102022107920 A1 DE102022107920 A1 DE 102022107920A1 DE 102022107920 A DE102022107920 A DE 102022107920A DE 102022107920 A1 DE102022107920 A1 DE 102022107920A1
Authority
DE
Germany
Prior art keywords
layer
mask
depositing
spacer
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022107920.1A
Other languages
English (en)
Inventor
Ssu-Yu Ho
Szu-Ping Tung
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022107920A1 publication Critical patent/DE102022107920A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Led Devices (AREA)
  • Semiconductor Lasers (AREA)

Abstract

Ausführungsformen verwenden einen Fotoätzprozess zum Bilden einer strukturierten Zielschicht. Nach dem Bilden einer strukturierten Dornschicht und einer Abstandhalterschicht über der strukturierten Dornschicht wird eine untere Schicht einer Fotomaske unter Verwendung eines chemischen Gasphasenabscheidungsprozesses abgeschieden, um einen amorphen Kohlenstofffilm zu bilden. Eine obere Schicht der Fotomaske wird zum Strukturieren der unteren Schicht verwendet, um Öffnungen für ein Rückseitenmaterial zu bilden. Das Rückseitenmaterial wird in den Öffnungen der unteren Schicht abgeschieden, wobei die untere Schicht sowohl eine Masken- als auch eine Vorlagenfunktion für das Rückseitenmaterial bereitstellt.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der folgenden provisorisch eingereichten U.S.-Patentanmeldung: Anmeldung Nr. 63/286,624 , eingereicht am 7. Dezember 2021, mit dem Titel „BEOL Chemical Vapor Deposition Thin Film with High Gap-fill Capability“, die hierin durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Um integrierte Schaltungen auf Wafern zu bilden, kommt ein Lithographieprozess zum Einsatz. Bei einem typischen Lithographieprozess wird ein Fotolack aufgetragen, auf dem dann Strukturen definiert werden. Die Strukturen im strukturierten Fotolack werden in einer Lithographiemaske definiert, und zwar entweder durch die transparenten Abschnitte oder durch die undurchsichtigen Abschnitte in der Lithographiemaske. Die Strukturen im strukturierten Fotolack werden dann durch einen Ätzschritt auf die darunterliegenden Elemente übertragen, wobei der strukturierte Fotolack als Ätzmaske verwendet wird. Nach dem Ätzschritt wird der strukturierte Fotolack entfernt.
  • Durch die zunehmende Verkleinerung integrierter Schaltungen kann die Stapelung von Schichten mit hohem Seitenverhältnis, die bei Fotostrukturierungstechniken verwendet wird, zu einem geringen Wackelwiderstand bei der Übertragung der Struktur auf ein amorphes Siliziumsubstrat führen. Das Wackeln von Leitungen kann wiederum zu Strukturfehlern führen. Strukturfehler und Wackeln der Leitungen können dazu führen, dass die Metallstrukturleitungen brechen und die Struktur ausfällt.
  • Figurenliste
  • Aspekte dieser Offenbarung werden am besten aus der folgenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den beigefügten Figuren gelesen werden. Es wird darauf hingewiesen, dass verschiedene Elemente nicht maßstabsgetreu gezeichnet sind, wie es in der Branche üblich ist. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1A und 1B bis 27A und 27B illustrieren Querschnitte und Draufsichten in Zwischenstufen des Bildens einer Halbleitervorrichtung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Elemente der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um diese Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, bei denen weitere Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, sodass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Weiterhin kann diese Offenbarung Bezugsziffern und/oder -buchstaben der verschiedenen Beispiele wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Einrichtungen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • Selbstausrichtende Strukturierungsprozesse verwenden eine Dornschicht, die fotostrukturiert ist. Aufgrund von Strukturladungseffekten wird die Dornschicht üblicherweise mit einer regelmäßig beabstandeten Struktur strukturiert. Dann wird die Dornschicht mit einer konformen Abstandhalterschicht bedeckt, die anisotrop geätzt wird, um Seitenwandabstandhalter für die Dorne zu bilden. Dann werden die Dorne entfernt, wobei eine Ätzmaske mit einer höheren Dichte und einem geringeren Abstand zwischen den Maskenstrukturen zurückbleibt. Dieser Prozess kann zum Bilden bestimmter Strukturen angepasst werden, indem ein Rückseitenmaterial über der Abstandhalterschicht umfasst ist, das einen Abschnitt der Abstandhalterschicht vor dem Ätzen schützt und im Wesentlichen einen Abschnitt der Dornschicht wiederherstellt, sodass die regelmäßig beabstandete Struktur optimiert oder angepasst werden kann, um die endgültige Struktur zu ändern. Beim Bilden dieses Rückseitenmaterials kann eine Fotomaskenstruktur verwendet werden. Prozesse von Ausführungsformen verwenden eine untere Schicht aus amorphem Kohlenstoff, die durch einen CVD-Prozess abgeschieden wird. Der daraus entstehende Film erlaubt eine viel höhere Flexibilität beim Abscheiden von Materialschichten über der unteren Schicht, die beispielsweise andere Schichten der Fotomaske und des Rückseitenmaterials umfassen. Probleme mit der Topografie, die beispielsweise durch Verwenden eines Spin-on-Kohlenstoffs oder eines anderen Spin-on-Materials auftreten könnten, werden gelöst, da die CVD-Abscheidung und das amorphe Kohlenstoffmaterial zu einer verbesserten und stabileren unteren Schicht führen. Die darüberliegenden Schichten können bei höheren Temperaturen abgeschieden werden, sodass Filme höherer Qualität verwendet werden können. Bei einigen Ausführungsformen wird eine dreischichtige Maskenstruktur verwendet, während bei anderen Ausführungsformen eine zweischichtige Maskenstruktur mit einer oberen Fotolackschicht aus Metalloxid verwendet wird.
  • 1A und 1B bis 27A und 27B illustrieren Querschnitte und Draufsichten von Zwischenstufen beim Bilden von leitfähigen Elementen in einer Zielschicht einer Vorrichtung nach einigen Ausführungsformen. Figuren, die mit „A“ enden, illustrieren Querschnittsansichten eines Abschnitts einer Struktur, und Figuren, die mit „B“ enden, illustrieren eine entsprechende Draufsicht auf den Abschnitt der Struktur. Die in den 1A und 1B illustrierte Struktur kann ein Abschnitt eines Wafers, auf dem viele Vorrichtungen gleichzeitig gebildet sind, oder eine einzelne Vorrichtung sein.
  • 1A und 1B illustrieren das Werkstück 100, das das Substrat 10 und die darüberliegenden Schichten umfasst. Das Substrat 10 kann aus einem Halbleitermaterial wie Silizium, Siliziumgermanium oder dergleichen gebildet sein. In einigen Ausführungsformen ist das Substrat 10 ein kristallines Halbleitersubstrat wie ein kristallines Siliziumsubstrat, ein kristallines Siliziumkohlenstoffsubstrat, ein kristallines Siliziumgermaniumsubstrat, ein III-V-Verbindungshalbleitersubstrat oder dergleichen. In einer Ausführungsform kann das Substrat 10 Bulksilizium, dotiert oder undotiert, oder eine aktive Schicht eines Silizium-auf-Isolator-Substrats (SOI-Substrats) umfassen. Allgemein umfasst ein SOI-Substrat eine Schicht eines Halbleitermaterials wie Silizium, Germanium, Siliziumgermanium oder Kombinationen daraus, wie etwa Siliziumgermanium auf Isolator (SGOI). Andere Substrate, die verwendet werden können, umfassen mehrschichtige Substrate, Verlaufssubstrate oder Hybridorientierungssubstrate.
  • In einigen Ausführungsformen ist die illustrierte Struktur ein Abschnitt eines Interposers ohne aktive oder passive Vorrichtungen, während in anderen Ausführungsformen die illustrierte Struktur aktive und/oder passive Vorrichtungen umfassen kann, die darin angeordnet sind. In einigen Ausführungsformen können die Vorrichtungen (z. B. Transistor 11) auf einer oberen Fläche des Substrats 10 oder in diesem selbst gebildet sein. Aktive Vorrichtungen können viele verschiedene aktive Vorrichtungen wie etwa Transistoren und dergleichen umfassen und passive Vorrichtungen können Vorrichtungen wie Kondensatoren, Widerstände, Induktoren und dergleichen umfassen, die gemeinsam verwendet werden können, um die gewünschten strukturellen und funktionalen Abschnitte des Designs zu erzeugen. Die aktiven und passiven Vorrichtungen können mit allen geeigneten Verfahren entweder innerhalb des Substrats oder auf dem Substrat 10 gebildet sein. Eine Vorrichtung kann beispielsweise ein Transistor 11 sein, der eine Gateelektrode 12, Gateabstandhalter 13 und Source-/Drainregionen 14 umfasst. Die Gate- und Source-/Drainkontakte 15 können zur elektrischen Kopplung mit dem Transistor 11 verwendet werden. Der Transistor 11 kann ein Finnen- oder Planar-Feldeffekttransistor (Finnen- oder Planar-FET) sein, der ein n- oder p-Transistor oder ein Abschnitt eines komplementären Metalloxidhalbleiters (CMOS-Halbleiters) ist. Eine Dielektrikumschicht 16 kann eine oder mehrere Schichten aus Dielektrikum umfassen, in denen Gate- und Source-/Drainkontaktstrukturen 15 mit aktiven und passiven Vorrichtungen elektrisch gekoppelt sind.
  • Die Metallisierungsstruktur 21 wird auf dem Substrat 10 gebildet. Die Metallisierungsstruktur 21 umfasst eine Dielektrikumschicht 22 mit darin gebildeten leitfähigen Elementen 24. Bei der Metallisierungsstruktur 21 kann es sich um eine Schicht einer Interconnect- oder Umverteilungsstruktur handeln, die zusätzliche Schichten aufweisen kann. Die Metallisierungsstruktur 21 kann beispielsweise eine Dielektrikumschicht 22, wie eine Zwischenmetalldielektrikumschicht (IMD-Schicht) oder eine Zwischenschichtdielektrikumschicht (ILD-Schicht), die ein Dielektrikum mit einer niedrigen Dielektrizitätskonstante (k-Wert) von beispielsweise weniger als 3,8, weniger als etwa 3,0 oder weniger als etwa 2,5 aufweisen kann, und leitfähige Elemente 24 umfassen. Die Dielektrikumschicht 22 der Metallisierungsstruktur 21 kann aus Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertem Phosphorsilikatglas (BPSG), fluordotiertem Silikatglas (FSG), Tetraethylorthosilikat (TEOS), Black Diamond (eingetragenes Warenzeichen von Applied Materials Inc.), einem kohlenstoffhaltigen Dielektrikum mit niedrigem K-Wert, Wasserstoffsilsesquioxan (HSQ), Methylsilsesquioxan (MSQ) oder dergleichen gebildet sein.
  • Die Metallisierungsstruktur 21 (eine oder mehrere Schichten umfassend) wird über dem Substrat 10 und den Vorrichtungen gebildet und ist so designt, dass sie die verschiedenen Vorrichtungen miteinander verbindet, um eine Funktionsschaltungsanordnung für das Schaltungsdesign zu bilden. In einer Ausführungsform ist die Metallisierungsstruktur 21 aus abwechselnden Schichten von dielektrischem und leitfähigem Material gebildet und kann durch einen geeigneten Prozess gebildet sein (wie etwa Abscheidung, Damaszen, Doppeldamaszen usw.). In einer Ausführungsform können eine bis vier Metallisierungsschichten vorhanden sein, die vom Substrat 10 durch mindestens eine Zwischenschichtdielektrikumschicht (ILD) getrennt sind, wobei die genaue Anzahl der Schichten vom Design abhängt.
  • Die leitfähigen Elemente 24 können Metallleitungen 24A und leitfähige Durchkontaktierungen 24B umfassen. Metallleitungen 24A können in einem oberen Abschnitt einer Schicht der Metallisierungsstruktur 21 gebildet sein und können für die Signalführung verwendet werden. Leitfähige Durchkontaktierungen 24B können sich durch die Dielektrikumschicht 22 erstrecken, um darunterliegende Elemente wie die Source-/Drainkontakte 15 zu kontaktieren. In einer Ausführungsform können die leitfähigen Elemente 24 aus einem Material wie Kupfer bestehen, das z. B. mit einem Damaszener- oder Dual-Damaszenerprozess gebildet wird, wobei eine Öffnung in der Dielektrikumschicht 22 gebildet wird, die Öffnung mit einem leitfähigen Material wie Kupfer oder Wolfram gefüllt und/oder überfüllt wird und ein Planarisierungsprozess ausgeführt wird, um die leitfähigen Elemente 24 in die Dielektrikumschicht 22 einzubetten. Es kann jedoch jedes geeignete Material und jeder geeignete Prozess zum Bilden der leitfähigen Elemente 24 verwendet werden. In einigen Ausführungsformen kann eine Sperrschicht 25 die leitfähigen Elemente 24 umschließen und als eine Diffusionssperrschicht verwendet werden, um zu verhindern, dass unerwünschte Elemente, wie etwa Kupfer, in das umgebende Dielektrikum der Dielektrikumschicht 22 diffundieren, wenn beispielsweise das Dielektrikum der Dielektrikumschicht 22 ein Dielektrikum mit niedrigem K-Wert ist. In einigen Ausführungsformen können die leitfähigen Elemente 24 Kontakte eines Dies sein.
  • Die Ätzstoppschicht (ESL) 26 kann ein Dielektrikum wie Aluminiumoxid, Siliziumkarbid, Siliziumnitrid oder dergleichen umfassen. Die ESL 26 kann aus einem Nitrid, einem Material auf Siliziumkohlenstoffbasis, einem kohlenstoffdotierten Oxid und/oder Kombinationen davon gebildet sein. Die ESL 26 kann aus einem metallischen Material gebildet sein. In einigen Ausführungsformen kann die ESL 26 auch als Antireflexionsbeschichtung wirken, um das nachfolgende Strukturieren zu unterstützen. Die Bildungsverfahren umfassen die plasmaunterstützte chemische Gasphasenabscheidung (PECVD) oder andere Verfahren wie die hochdichte Plasma-CVD (HDPCVD), die Atomlagenabscheidung (ALD), die Niederdruck-CVD (LPCVD), die physische Gasphasenabscheidung (PVD) und dergleichen. Nach einigen Ausführungsformen wird die ESL 26 auch als eine Diffusionssperrschicht verwendet, um zu verhindern, dass unerwünschte Elemente, wie etwa Kupfer, in die nachfolgend gebildete Dielektrikumschicht mit niedrigem k-Wert diffundieren. Die ESL 26 kann kohlenstoffdotiertes Oxid (CDO), kohlenstoffinkorporiertes Siliziumoxid (SiOC) oder sauerstoffdotiertes Carbid (ODC) umfassen. Die ESL 26 kann auch aus stickstoffdotiertem Siliziumkarbid (NDC) gebildet sein.
  • In den 1A und 1B ist ferner die Dielektrikumschicht 28 illustriert, die über der ESL 26 gebildet ist. Nach einigen Ausführungsformen dieser Offenbarung ist die Dielektrikumschicht 28 aus einem Dielektrikum mit niedrigem k-Wert gebildet, das eine Dielektrizitätskonstante (k-Wert) von weniger als etwa 3,0, etwa 2,5 oder noch weniger aufweist. Die Dielektrikumschicht 28 kann unter Verwendung eines Materials gebildet sein, das aus derselben Gruppe von Kandidatenmaterialien zum Bilden der Dielektrikumschicht 22 gebildet ist. Die Materialien der Dielektrikumschichten 22 und 28 können gleich oder voneinander unterschiedlich sein, wenn sie aus der gleichen Gruppe von Kandidatenmaterialien ausgewählt werden. Nach einigen Ausführungsformen ist die Dielektrikumschicht 28 eine silizium- und kohlenstoffhaltige Dielektrikumschicht mit niedrigem K-Wert. Die Dielektrikumschicht 28 kann auch als Zielschicht 28 bezeichnet werden, in der nach Ausführungsformen dieser Offenbarung Öffnungen nach mehreren Strukturen gebildet und mit Metallleitungen und Steckern gefüllt sind.
  • In einigen Ausführungsformen befindet sich über der Dielektrikumschicht 28 mit niedrigem k-Wert eine Maske 30. In einigen Ausführungsformen kann die Maske 30 eine Dielektrikumshartmaske sein und als Dielektrikumshartmaske 30 bezeichnet werden, die aus Siliziumoxid (wie etwa aus einem aus Tetraethylorthosilikat (TEOS) gebildeten Siliziumoxid), einer stickstofffreien Antireflexionsbeschichtung (NFARC, die ein Oxid ist), Siliziumkarbid, Siliziumoxynitrid oder dergleichen bestehen kann. Die Bildungsverfahren umfassen die plasmaunterstützte chemische Gasphasenabscheidung (PECVD), die Abscheidung mit hochdichtem Plasma (HDP-Abscheidung) oder dergleichen.
  • Eine Maske 32 ist über der Maske 30 oder der Dielektrikumschicht 28 gebildet. In einigen Ausführungsformen kann die Maske 32 eine Hartmaske sein und kann auch als Hartmaske 32 bezeichnet werden. In einigen Ausführungsformen ist die Hartmaske 32 eine Metallhartmaske und kann ein oder mehrere Metalle, wie Titan (Ti) oder Tantal (Ta), umfassen. In einigen Ausführungsformen kann das Metall der Hartmaske 32 in Form eines Metallnitrids wie etwa Titannitrid (TiN) oder Tantalnitrid (TaN) vorliegen. In einigen Ausführungsformen wird die Hartmaske 32 aus wolframdotiertem Karbid (WDC, auch als wolframdotiertes Siliziumkarbid bezeichnet) gebildet. In einigen Ausführungsformen kann die Hartmaske 32 aus einem Nichtmetallnitrid wie Siliziumnitrid, einem Oxynitrid wie Siliziumoxynitrid oder dergleichen gebildet sein. Die Bildungsverfahren der Hartmaske 32 umfassen die physische Gasphasenabscheidung (PVD), die Hochfrequenz-PVD (RFPVD), die Atomlagenabscheidung (ALD) oder dergleichen.
  • Die dielektrische Maskenschicht 34 ist über der Maske 32 gebildet. In einigen Ausführungsformen kann die Maskenschicht 34 eine Hartmaske sein und als Maskenschicht 34 bezeichnet werden. Die Maskenschicht 34 kann mit ähnlichen Prozessen und Materialien gebildet sein, wie sie oben in Bezug auf die Dielektrikumshartmaske 30 besprochen wurden, und sie kann mit einem Verfahren gebildet sein, das aus der gleichen Gruppe von Verfahren zum Herstellen der Dielektrikumshartmaske 30 ausgewählt wird. Die Maskenschichten 30 und 34 können aus demselben Material gebildet sein oder unterschiedliche Materialien umfassen. In einigen Ausführungsformen kann die Maskenschicht 34 nach dem Abscheiden strukturiert sein, um Abschnitte der darunterliegenden Hartmaske 32 zu belichten. In solchen Ausführungsformen kann die Maskenschicht 34 verwendet werden, um die darunterliegende Zielschicht 28 auf unterschiedliche Tiefen zu ätzen.
  • Die Dornschicht 36 ist über der Maskenschicht 34 gebildet. In einigen Ausführungsformen ist die Dornschicht 36 aus amorphem Silizium oder einem anderen Material gebildet, das eine hohe Ätzselektivität mit der darunterliegenden Maskenschicht 34 aufweist. Die Dornschicht 36 kann eine Dicke von ca. 300 bis ca. 800 Å, wie etwa ca. 500 Å, aufweisen und kann mit jedem geeigneten Prozess, z. B. durch CVD oder PECVD, gebildet sein. Nachdem die Dornschicht 36 wie unten beschrieben strukturiert wurde, kann darauf selektiv ein Rückseitenmaterial abgeschieden werden, um Flexibilität beim Strukturieren der Zielschicht 28 bereitzustellen. Die Kombination aus den Dornen, der selbstausrichtenden Maske und dem Rückseitenmaterial wird in einem nachfolgenden Prozess für einen selbstausrichtenden Strukturierungsprozess verwendet, der zum Strukturieren der Zielschicht 28 führt.
  • Noch immer mit Verweis auf die 1A und 1B wird über der Dornschicht 36 eine Dreifachschicht gebildet, die eine untere Schicht 38, eine mittlere Schicht 40 über der unteren Schicht 38 und eine obere Schicht 42 (auch als Abdeckschicht bezeichnet) über der mittleren Schicht 40 umfasst. Die untere Schicht 38 kann aus einem organischen Material gebildet sein, wie etwa aus einem polymeren Fotolackmaterial wie Opferkohle oder Spin-on-Kohlenstoff. In einigen Ausführungsformen kann die Prozesstemperatur während des Abscheidens der unteren Schicht 38 zwischen etwa 100 °C und 250 °C liegen. Die Spannung der unteren Schicht 38 kann zwischen etwa 0 MPa und etwa 50 MPa liegen. Die Härte der unteren Schicht 38 kann zwischen etwa 0 GPa und 1 GPa liegen, während der Modul zwischen etwa 9 GPa und 15 GPa liegen kann. Die Dichte der unteren Schicht 38 kann zwischen etwa 0,9 und 1,3 g/cm3 liegen. Die Materialzusammensetzung der unteren Schicht 38 kann 76,4 % Kohlenstoff, 4,5 % Wasserstoff und 19,1 % Sauerstoff nach Molekulargewicht betragen.
  • Die mittlere Schicht 40 kann ein anorganisches Material umfassen, bei dem es sich um ein Carbid (z. B. Siliziumoxycarbid), ein Nitrid (z. B. Siliziumnitrid), ein Oxynitrid (z. B. Siliziumoxynitrid), ein Oxid (z. B. Siliziumoxid), z. B. Spin-on-Glass oder dergleichen handeln kann. Die obere Schicht 42 kann aus einem organischen Fotolackmaterial, wie etwa einem Polymer, gebildet sein. Die mittlere Schicht 40 weist eine hohe Ätzselektivität in Bezug auf die obere Schicht 42 und die untere Schicht 38 auf. Daher wird die obere Schicht 42 als Ätzmaske zum Strukturieren der mittleren Schicht 40 und die mittlere Schicht 40 als Ätzmaske zum Strukturieren der unteren Schicht 38 verwendet.
  • Jede Schicht der Dreifachschicht kann mit jeweils geeigneten Prozessen gebildet sein. In einigen Ausführungsformen können die untere Schicht 38, die mittlere Schicht 40 und die obere Schicht 42 jeweils durch einen Spin-On-Prozess oder alternativ durch einen beliebigen geeigneten Abscheidungsprozess gebildet sein.
  • Die Dicke der unteren Schicht 38 kann zwischen etwa 250 und 1200 Å betragen. Die Dicke der mittleren Schicht 40 kann zwischen etwa 100 und 350 Å betragen. Die Dicke der oberen Schicht 42 kann zwischen etwa 300 und 1000 Å betragen. Obwohl beispielhafte Bereiche und Dicken der Schichten bereitgestellt sind, können auch andere Dicken dieser Schichten verwendet werden.
  • In den 2A und 2B wird die obere Schicht 42 nach dem Bilden der oberen Schicht 42 unter Verwendung einer akzeptablen Fotolithographietechnik strukturiert, um später eine strukturierte obere Schicht 142 zu bilden, indem beispielsweise die obere Schicht 42 durch eine Lichtmaske belichtet wird und die obere Schicht 42 entwickelt wird, um Abschnitte davon zu entfernen, die belichtet wurden oder nicht (je nachdem, ob eine Positiv- oder Negativ-Fotomaske verwendet wird). Die strukturierte obere Schicht 42 umfasst Öffnungen 44.
  • In den 3A und 3B wird die mittlere Schicht 40 geätzt, um eine strukturierte mittlere Schicht 140 zu bilden, die auch als mittlere Schicht 140 bezeichnet werden kann. Die mittlere Schicht 40 wird unter Verwendung der strukturierten oberen Schicht 142 (2A und 2B) als Ätzmaske geätzt, sodass die Struktur der strukturierten oberen Schicht 142 auf die mittlere Schicht 40 übertragen wird, um die strukturierte mittlere Schicht 140 zu erzeugen. Während des Strukturierens der mittleren Schicht 140 kann die obere Schicht 142 teilweise oder vollständig aufgebraucht werden. Das Ätzen der mittleren Schicht 40 führt in der strukturierten mittleren Schicht 140 zu Öffnungen 46, die sich von den Öffnungen 44 aus erstrecken. Es kann jede geeignete Ätztechnik verwendet werden, wie etwa ein Nass- oder Trockenätzen unter Verwendung eines Ätzmittels, das selektiv auf das Material der mittleren Schicht 140 wirkt.
  • In den 4A und 4B wird die untere Schicht 38 dann geätzt, um eine strukturierte untere Schicht 138 zu bilden, die auch als untere Schicht 138 bezeichnet werden kann. Die untere Schicht 38 wird unter Verwendung der mittleren Schicht 140 (3A und 3B) als Ätzmaske geätzt, sodass die Struktur der mittleren Schicht 140 auf die untere Schicht 38 übertragen wird und eine strukturierte untere Schicht 138 entsteht. Die untere Schicht 138 weist Öffnungen 48 auf, die sich von den Öffnungen 46 aus erstrecken (3A). Die obere Schicht 142 wird bei dem Strukturieren der unteren Schicht 38 vollständig aufgebraucht, wenn sie beim Strukturieren der mittleren Schicht 140 noch nicht vollständig aufgebraucht wurde. Die Öffnungen 48 können sich innerhalb der Prozessschwankungen verjüngen oder vertikale Seitenwände aufweisen. Es kann jede geeignete Ätztechnik verwendet werden, wie etwa eine Nass- oder Trockenätzung mit einem Ätzmittel, das für das Material der unteren Schicht 138 selektiv ist. In einigen Ausführungsformen kann das Ätzmittel beispielsweise ein O2- basiertes oder N2/H2-basiertes Ätzgas sein, das in einer Ätzkammer mit anderen Prozessgasen verwendet wird.
  • Die 5A und 5B illustrieren ein anisotropes Ätzen der Dornschicht 36 der 4A und 4B, um eine strukturierte Dornschicht 136 zu bilden, die auch als Dorne 136 bezeichnet werden kann. Die Dornschicht 36 wird unter Verwendung der strukturierten unteren Schicht 138 als Ätzmaske geätzt, sodass die Struktur der unteren Schicht 138 auf die Dornschicht 36 übertragen wird und die strukturierte Dornschicht 136 entsteht. Die strukturierte Dornschicht 136 weist Öffnungen 50 auf, die sich von den Öffnungen 48 aus erstrecken (4A). Die Ätztechnik kann ein Trockenätzen mit einem geeigneten Ätzmittel umfassen. In einigen Ausführungsformen kann das für das Ätzen der strukturierten Dornschicht 136 ausgewählte Ätzmittel ein fluorfreies Ätzmittel sein, wie etwa ein Ätzmittel auf Chlorbasis. In anderen Ausführungsformen können auch andere Ätzmittel verwendet werden, unter anderem Ätzmittel auf Fluorbasis. Die Maskenschicht 34 unter der strukturierten Dornschicht 136 kann als Ätzstoppschicht für das Durchätzen der Dornschicht 36 dienen. Die Öffnungen 50 werden durch das Ätzen der strukturierten Dornschicht 136 gebildet. Nach dem Ätzen der strukturierten Dornschicht 136 kann die untere Schicht 138 durch einen Aschenprozess entfernt werden.
  • In den 6A und 6B kann eine Abstandhalterschicht 52 über der strukturierten Dornschicht 136 abgeschieden werden. Die Abstandhalterschicht 52 kann aus einem geeigneten isolierenden oder dielektrischen Oxid- oder Nitrid hergestellt sein, das mit einer Abscheidetechnik abgeschieden wird, die geeignet ist, eine im Wesentlichen konforme Schicht zu bilden (beispielsweise so, dass die horizontalen Abschnitte und die vertikalen Abschnitte der Abstandhalterschicht 52 um 25 % oder weniger variieren). Solche Abscheidungstechniken können beispielsweise PECVD, HDPCVD, ALD, CVD, LPCVD, PVD und dergleichen umfassen. 6B umfasst gestrichelte Linien, die untere Abschnitte der Abstandhalterschicht 52 darstellen.
  • Als nächstes kann, wie in den 7A und 7B illustriert ist, eine untere Schicht 56 einer Maskenstruktur in den Öffnungen 54 (siehe 6A) und über der Abstandhalterschicht 52 abgeschieden werden. Die untere Schicht 56 kann als Abschnitt einer Maskenstruktur verwendet werden, die zwei oder drei Schichten umfasst. In einigen Ausführungsformen kann die untere Schicht 56 als eine Abscheidungsführung zum Abscheiden eines Rückseitenmaterials über Abschnitten der Abstandhalterschicht 52 dienen. Das Rückseitenmaterial füllt Abschnitte der strukturierten Dornschicht 136 auf, die zuvor entfernt wurden, um diese Abschnitte für Strukturierungszwecke effektiv wiederherzustellen. Anstatt die untere Schicht 56 durch einen Spin-On-Prozess abzuscheiden, wird die untere Schicht 56 durch einen plasmagestützten CVD-Prozess abgeschieden, der eine bessere Spaltfüllfähigkeit als ein Spin-On-Prozess bereitstellt. Die Abstandhalterschicht 52 weist abwechselnd hohe und niedrige Punkte auf, und ein Abscheidungsprozess mit einer besseren Spaltfüllfähigkeit stellt eine bessere Grundlage (verbesserte Topografie) für ein nachfolgend abgeschiedenes Rückseitenmaterial bereit. Anstelle eines Polymers als Material für die untere Schicht 56 verwenden Ausführungsformen auch amorphen Kohlenstoff. Amorpher Kohlenstoff widersteht höheren Temperaturen als ein typisches Polymer und eignet sich daher für eine größere Auswahl an Filmen, die als Rückseitenmaterial, mittlere Schicht oder obere Schicht darauf abgeschieden werden. Durch die Verwendung von amorphem Kohlenstoff können die darüberliegenden Materialschichten ferner schneller, mit besserer Materialkonsistenz und bei höheren Abscheidetemperaturen abgeschieden werden, um Filme höherer Qualität zu erzeugen.
  • In einigen Ausführungsformen kann der CVD-Prozess zum Abscheiden des amorphen Kohlenstoffs ein plasmagestützter Prozess mit einer Prozesstemperatur zwischen 200 °C und 400 °C sein. Ein gasförmiger CxHy-Vorläufer (wobei x und y jeweils miteinander kompatible Indizes sind), wie C2H2, CH4, C3H62 und so weiter, kann in einen Feststoff umgewandelt und auf der Abstandhalterschicht 52 als amorpher Kohlenstoff abgeschieden werden. Die Reaktion zum Abscheiden des amorphen Kohlenstoffs kann wie folgt gekennzeichnet sein: Ar+ + CxHy → Ar + CxHy+ (Gl. 1) CxHy + + e → CxH(y-1) + H (Gl. 2) e + CxH(y-1) → CxH(y-1) + + 2e (Gl. 3) Argon kann einer Abscheidungskammer zugeführt werden und ein Plasma des Argongases kann unter Verwendung einer Hochfrequenzquelle erzeugt werden. Ein Kohlenwasserstoffgas wie Acetylen, Methan usw. wird in die Abscheidungskammer eingeleitet. Die Argon-Ionen interagieren mit dem Kohlenwasserstoffgas, wobei sie Elektronen aus dem Kohlenwasserstoffgas herauslösen und Ionen des Kohlenwasserstoffgases in Gleichung 1 erzeugen. Energiereiche freie Elektronen können mit den Kohlenwasserstoffgasionen interagieren, um ein Wasserstoffatom aus den Kohlenwasserstoffgasionen herauszulösen. Durch die Verdrängung des Wasserstoffatoms aus den Kohlenwasserstoff-Ionen wird das Molekül neutralisiert und das Kohlenwasserstoffgas in Gleichung 2 in einen Feststoff umgewandelt. Die energetisierten freien Elektronen interagieren mit den freischwebenden Kohlenwasserstofffeststoffen und lösen ein weiteres Elektron aus den Kohlenwasserstofffeststoffen, wodurch in Gleichung 3 Ionen der Kohlenwasserstofffeststoffe gebildet werden. Die Ionen der Kohlenwasserstofffeststoffe werden von der oberen Fläche der Abstandhalterschicht 52 und der unteren Schicht 56 angezogen, während diese wächst. Die untere Schicht 56 wird so aufgebaut, dass sie sich über die Abstandhalterschicht 52 erstreckt, und dann wird die obere Fläche durch einen Zurückätzprozess, einen Planarisierungsprozess wie die chemisch-mechanische Planarisierung (CMP) oder Kombinationen davon eingeebnet.
  • Die Prozesstemperatur beim Abscheiden der unteren Schicht 56 kann zwischen etwa 200 °C und 400 °C liegen. Die Spannungseigenschaft der unteren Schicht 56 kann zwischen etwa 0 MPa und etwa -500 MPa liegen. Die Härte der unteren Schicht 56 kann zwischen etwa 10 GPa und 20 GPa liegen, während der Modul zwischen etwa 90 GPa und 110 GPa liegen kann. Die Dichte der unteren Schicht 56 kann zwischen etwa 1 und 1,5 g/cm3 liegen. In einigen Ausführungsformen kann die Materialzusammensetzung der unteren Schicht 56 zwischen etwa 78 % und 80 % Kohlenstoff, zwischen etwa 19 % und 21 % Wasserstoff und zwischen etwa 0,4 % und 3 % Sauerstoff betragen, wie durch Rutherford-Rückstreuungsspektrometrie (RBS) bestimmt. In einigen Ausführungsformen kann die Materialzusammensetzung der unteren Schicht 56 zwischen etwa 60 % und 70 % Kohlenstoff, zwischen etwa 30 % und 40 % Wasserstoff und zwischen etwa 1 % und 5 % Sauerstoff betragen, wie durch RBS bestimmt.
  • In den 8A und 8B wird eine mittlere Schicht 58 abgeschieden, gefolgt von einer oberen Schicht 60. Diese Schichten können mit ähnlichen Prozessen und Materialien gebildet sein, wie sie oben mit Verweis auf die mittlere Schicht 40 und die obere Schicht 42 besprochen wurden. In einigen Ausführungsformen können die mittlere Schicht 58 und/oder die obere Schicht 60 mit anderen Prozessen, wie etwa einem CVD-Prozess, anstelle eines Spin-on-Prozesses abgeschieden werden. Normalerweise würde ein CVD-Abscheidungsprozess die untere Schicht 56 wahrscheinlich beschädigen. Da die untere Schicht 56 jedoch mittels CVD abgeschieden wurde und die oben angemerkten Filmeigenschaften bereitstellt, kann die mittlere Schicht 58 auf eine gleiche Weise abgeschieden werden und die obere Schicht 60 kann ebenfalls auf gleiche Weise abgeschieden werden. Außerdem führt das Verwenden von CVD dazu, dass die mittlere Schicht 58 (und/oder die obere Schicht 60) in der gleichen Abscheidungskammer wie die untere Schicht 56 abgeschieden werden, wodurch sich die Handhabungszeiten des Werkstücks 100 verringern. In einigen Ausführungsformen kann die obere Schicht 60 aus einem Metalloxid-Fotolack anstelle eines organischen Fotolacks bestehen.
  • In einigen Ausführungsformen können die alternativen Materialien und alternativen Prozesse, die zum Abscheiden der unteren Schicht 56, der mittleren Schicht 58 und der oberen Schicht 60 verwendet wurden, auch zum Abscheiden der unteren Schicht 38, der mittleren Schicht 40 und der oberen Schicht 42 verwendet werden, wie oben besprochen.
  • Die Dicke der unteren Schicht 56 kann zwischen etwa 250 und 1200 Å betragen. Die Dicke der mittleren Schicht 58 kann zwischen etwa 100 und 350 Å betragen. Die Dicke der oberen Schicht 60 kann zwischen etwa 300 und 1000 Å betragen. Obwohl beispielhafte Bereiche und Dicken der Schichten bereitgestellt sind, können auch andere Dicken dieser Schichten verwendet werden.
  • 9A und 9B illustrieren, dass nach dem Bilden der oberen Schicht 60 die obere Schicht 60 strukturiert wird, um später eine strukturierte obere Schicht 160 zu bilden, wobei eine akzeptable Fotolithographietechnik verwendet wird. Die strukturierte obere Schicht 160 umfasst Öffnungen 62.
  • In den 10A und 10B wird die mittlere Schicht 58 geätzt, um eine strukturierte mittlere Schicht 158 zu bilden. Die mittlere Schicht 58 wird unter Verwendung der strukturierten oberen Schicht 160 (9A und 9B) als Ätzmaske geätzt, sodass die Struktur der strukturierten oberen Schicht 160 auf die mittlere Schicht 58 übertragen wird, um die strukturierte mittlere Schicht 158 zu erzeugen. Während des Strukturierens der mittleren Schicht 158 kann die strukturierte obere Schicht 160 teilweise oder vollständig aufgebraucht werden. Das Ätzen der mittleren Schicht 58 führt in der strukturierten mittleren Schicht 158 zu Öffnungen 64, die sich von den Öffnungen 62 aus erstrecken. Es kann jede geeignete Ätztechnik verwendet werden, wie etwa ein Nass- oder Trockenätzen unter Verwendung eines Ätzmittels, das selektiv auf das Material der mittleren Schicht 158 wirkt.
  • In den 11A, 11B, 12A und 12B ist ein Prozess illustriert, bei dem die mittlere Schicht 58 nach einigen Ausführungsformen weggelassen wird. In den 11A und 11B ist die obere Schicht 60 direkt auf der unteren Schicht 56 gebildet. Da die untere Schicht 56 aus amorphem Kohlenstoff gebildet ist, kann ein organischer Fotolack (wie in der oberen Schicht 42) verwendet werden, wobei eine gute Ätzselektivität zwischen der oberen Schicht 60 und der unteren Schicht 56 erhalten bleibt. In einigen Ausführungsformen kann die obere Schicht 60 stattdessen gegen einen Metalloxid-Fotolack ausgetauscht werden, der eine bessere Ätzselektivität erlaubt, ohne dass die mittlere Schicht 58 erforderlich ist. Die obere Schicht 60 (mit dem organischen Fotolack oder dem Metalloxid-Fotolack) kann durch einen Spin-on-Prozess oder durch einen CVD-Abscheidungsprozess abgeschieden werden. Normalerweise würde ein CVD-Prozess die untere Schicht 56 beschädigen. Da die untere Schicht 56 jedoch durch den CVD-Prozess abgeschieden wurde und die oben beschriebenen Filmeigenschaften aufweist, kann sie Abscheidungsprozessen bei höheren Temperaturen widerstehen.
  • 12A und 12B illustrieren, dass nach dem Bilden der oberen Schicht 60 die obere Schicht 60 strukturiert wird, um später eine strukturierte obere Schicht 160 zu bilden, wobei eine akzeptable Fotolithographietechnik verwendet wird. Die strukturierte obere Schicht 160 umfasst Öffnungen 64, die Abschnitte der unteren Schicht 56 belichten.
  • In den 13A und 13B wird die untere Schicht 56 dann geätzt, um eine strukturierte untere Schicht 156 zu bilden, die auch als untere Schicht 156 bezeichnet werden kann. Die untere Schicht 56 wird unter Verwendung der mittleren Schicht 158 (10A und 10B) oder der oberen Schicht 160 (12A und 12B) als Ätzmaske geätzt, sodass die Struktur der mittleren Schicht 158 (oder der oberen Schicht 160) auf die untere Schicht 56 übertragen wird und die strukturierte untere Schicht 156 entsteht. Die untere Schicht 156 weist Öffnungen 66 auf, die sich von den Öffnungen 64 aus erstrecken (10A oder 12A). Die strukturierte obere Schicht 160 wird beim Strukturieren der unteren Schicht 156 vollständig aufgebraucht, wenn sie beim Strukturieren der mittleren Schicht 158 nicht vollständig aufgebraucht wurde. Die Öffnungen 66 können sich innerhalb der Prozessschwankungen verjüngen oder vertikale Seitenwände aufweisen. Es kann jede geeignete Ätztechnik verwendet werden, wie etwa eine Nass- oder Trockenätzung mit einem Ätzmittel, das für das Material der unteren Schicht 156 selektiv ist. In einigen Ausführungsformen kann das Ätzmittel beispielsweise ein auf O2 oder N2/H2 basierendes Ätzgas sein, das in einer Ätzkammer mit anderen Prozessgasen verwendet wird. Nach dem Ätzen der strukturierten unteren Schicht 156 können die strukturierte mittlere Schicht 158 (falls verwendet) und die obere Schicht 160 (falls noch vorhanden) durch einen geeigneten Prozess entfernt werden.
  • In den 14A und 14B kann ein Rückseitenmaterial 68 in die Öffnungen 66 abgeschieden werden. Die strukturierte untere Schicht 156 wirkt somit als eine untere Schicht einer Fotomaske sowie als eine Vorlage für das Abscheiden des Rückseitenmaterials 68. Das Rückseitenmaterial 68 wird verwendet, um die Auswirkungen eines vorherigen Ätzens rückgängig zu machen. Beispielsweise können die Strukturen aufgrund von Strukturladungseffekten in bestimmten Abständen geätzt werden, die Strukturen können dann jedoch durch die Verwendung des Rückseitenmaterials 68 verändert werden. In verschiedenen Ausführungsformen umfasst das Rückseitenmaterial 68 ein anorganisches Material. Das Rückseitenmaterial 68 kann beispielsweise ein anorganisches Oxid sein, wie Titanoxid, Tantaloxid, Siliziumoxid und dergleichen. Da die untere Schicht 156 aus amorphem Kohlenstoff besteht, der durch CVD abgeschieden wird, muss das Rückseitenmaterial 68 kein Niedertemperaturoxid sein (d. h. ein Oxid, das mit einem Prozess mit einer niedrigen Temperatur von etwa 200 °C oder weniger abgeschieden wird). Stattdessen umfasst das Rückseitenmaterial 68 viele weitere Kandidatenmaterialien. In einigen Ausführungsformen kann das Rückseitenmaterial 68 ein Nitrid umfassen, wie etwa Siliziumnitrid oder Siliziumoxynitrid oder dergleichen. Das Rückseitenmaterial 68 kann so ausgewählt werden, dass es eine ausreichende Ätzselektivität gegenüber der Abstandhalterschicht 52 in Bezug auf einen selben Ätzprozess aufweist. So beträgt beispielsweise ein Verhältnis zwischen einer Ätzrate des Rückseitenmaterials 68 und einer Ätzrate der Abstandhalterschicht 52 bei einem selben Ätzprozess in einigen Ausführungsformen mindestens 0,7.
  • Das Rückseitenmaterial 68 kann unter Verwendung eines Halbleiterfilmabscheidungsprozesses, wie CVD, PVD, ALD oder dergleichen gebildet sein. In einigen Ausführungsformen kann das Rückseitenmaterial 68 bei einer Prozesstemperatur zwischen 50 °C und etwa 300 °C, wie etwa zwischen 200 °C und 300 °C, abgeschieden werden. Die Prozesstemperatur kann höher sein als beispielsweise beim Abscheiden eines Niedrigtemperaturoxids, da die untere Schicht 156 aus CVD-abgeschiedenem amorphem Kohlenstoff besteht, der höheren Temperaturen widerstehen kann als ein Spin-On-Polymer. Der Halbleiterfilmabscheidungsprozess kann ein konformer Prozess sein, der sich auf Seitenwänden und einer unteren Fläche der Öffnungen 66 bildet (siehe 12A und 12B). Wenn das Abscheiden fortgesetzt wird, können Abschnitte des Rückseitenmaterials 68 an entgegengesetzten Seitenwänden der Öffnungen 66 verschmelzen, wodurch die Öffnungen 66 gefüllt werden. Aufgrund des Halbleiterfilmabscheidungsprozess ist eine obere Fläche des Rückseitenmaterials 68 möglicherweise nicht planar.
  • In den 15A und 15B kann das Rückseitenmaterial 68 als Nächstes in einem Zurückätz- oder Planarisierungsprozess oder einer Kombination davon zugeschnitten werden. Ein Planarisierungsprozess (z. B. eine chemisch-mechanische Planarisierung (CMP), Trockenätzung, Kombinationen davon oder dergleichen) kann ausgeführt werden, um überschüssige Abschnitte des Rückseitenmaterials 68 außerhalb der Öffnungen 66 zu entfernen. Nach dem Planarisierungsprozess ist die untere Schicht 156 belichtet und die oberen Flächen des Rückseitenmaterials 68 und der unteren Schicht 156 können flach und komplanar sein. In einigen Ausführungsformen können durch den Planarisierungsprozess auch Vertiefungen entfernt werden, die sich auf der oberen Fläche des Rückseitenmaterials 68 gebildet haben.
  • In den 16A und 16B wird als nächstes die untere Schicht 156 durch einen Aschenprozess oder Ätzprozess entfernt. Nachdem die untere Schicht 156 entfernt wurde, verbleiben Säulen aus dem Rückseitenmaterial 68. Das verbleibende Rückseitenmaterial 68 maskiert ausgewählte Bereiche der Abstandhalterschicht 52. In einigen Ausführungsformen kann sich das Rückseitenmaterial 68 von einem ersten Seitenwandabschnitt der Abstandhalterschicht 52 auf einem ersten Dorn 136 zu einem zweiten Seitenwandabschnitt der Abstandhalterschicht 52 auf einem jeweiligen zweiten Dorn 136 erstrecken.
  • In den 17A und 17B kann das Rückseitenmaterial 68 dann in einem Zurückätzprozess zugeschnitten werden, um ein gewünschtes Profil zu erhalten. In einigen Ausführungsformen wird durch das Zuschneiden des Rückseitenmaterials 68 das Rückseitenmaterial 68 unterhalb einer obersten Fläche der Abstandhalterschicht 52, wie etwa unterhalb einer oberen Fläche der Dorne 136, ausgeschnitten, wodurch das Rückseitenmaterial 168 gebildet wird. Durch das Zuschneiden des Rückseitenmaterials 168 können Abschnitte der Abstandhalterschicht 52 über den Dornen 124 belichtet werden. In einigen Ausführungsformen kann das Zuschneiden des Rückseitenmaterials 68 auch zu einer Verringerung einer Breite des Rückseitenmaterials 68 führen.
  • Das Zuschneiden des Rückseitenmaterials 68 kann einen Trockenätzprozess oder eine Kombination aus Trocken- und Nassätzprozessen umfassen. Trockenätzprozesse von Ausführungsformen zum Zuschneiden des Rückseitenmaterials 68 können das Verwenden von Ätzmitteln auf Kohlenstoff-Fluor-Basis (z. B. CF4) umfassen. Andere Prozessgase können in Kombination mit den Ätzmitteln auf Kohlenstoff-Fluor-Basis verwendet werden, wie etwa Sauerstoff (O2), Stickstoff (N2), Argon (Ar), Kombinationen davon oder dergleichen. Nassätzprozesse von Ausführungsformen zum Zuschneiden des Rückseitenmaterials 68 können das Verwenden von verdünnter Flusssäure als Ätzmittel umfassen. Eine gewünschte Form des Rückseitenmaterials 168 kann beispielsweise durch Steuern der Konzentrationen und der Dauer des Zuschnittprozesses erreicht werden.
  • In einigen Ausführungsformen kann das Zuschneiden des Rückseitenmaterials 168 durch ein anisotropes Ätzen ausgeführt werden, das auch die Abstandhalterschicht 52 ätzt, um die horizontalen Abschnitte der Abstandhalterschicht 52 zu entfernen, die durch das Rückseitenmaterial 68 belichtet sind. Wie in den 17A und 17B gezeigt ist, werden die horizontalen Abschnitte der Abstandhalterschicht 52, die sich unter dem Rückseitenmaterial 68 befinden, nicht entfernt. Dieser Prozess führt zum Herstellen der selbstausrichtenden Abstandhaltermaske 152, die eigenständige vertikale Abschnitte der Abstandhalterschicht 52 und Abschnitte der Abstandhalterschicht 52 umfasst, die sich zwischen den Dornen der strukturierten Dornschicht 136 erstrecken. Die Öffnungen 70A belichten Abschnitte der Maskenschicht 34. Die Öffnungen 70B zeigen eine Vertiefung am Rückseitenmaterial 168 an.
  • In den 18A und 18B können ausgewählte Dorne in der strukturierten Dornschicht 136 entfernt werden, um weitere Öffnungen zwischen den vertikalen Abstandhaltern der Abstandhaltermaske 152 zu erzeugen. Zum Entfernen der ausgewählten Dorne 136 kann ein Dreifachschicht- oder Zweifachschicht-Fotoätzprozess verwendet werden. Die untere Schicht 72 kann über der Abstandhaltermaske 152, der strukturierten Dornschicht 136 und dem Rückseitenmaterial 168 abgeschieden werden. In einigen Ausführungsformen kann die untere Schicht 72 mit ähnlichen Prozessen und Materialien wie die untere Schicht 38 gebildet sein, während in anderen Ausführungsformen die untere Schicht 72 mit ähnlichen Prozessen und Materialien wie die untere Schicht 56 gebildet sein kann. In einigen Ausführungsformen kann die mittlere Schicht 74 (falls verwendet) auf die untere Schicht 72 abgeschieden werden, wobei ähnliche Materialien und Prozesse wie zum Bilden der mittleren Schicht 40 verwendet werden, während in anderen Ausführungsformen die mittlere Schicht 74 mit ähnlichen Prozessen und Materialien wie zum Bilden der mittleren Schicht 58 gebildet werden kann. In einigen Ausführungsformen kann die obere Schicht 76 auf die mittlere Schicht 74 (falls verwendet) oder die untere Schicht 72 abgeschieden werden, wobei Materialien und Prozesse verwendet werden, die denen ähnlich sind, die zum Bilden der oberen Schicht 42 verwendet werden, während in anderen Ausführungsformen die obere Schicht 76 mit Prozessen und Materialien gebildet werden kann, die denen ähnlich sind, die zum Bilden der oberen Schicht 60 verwendet werden.
  • In den 19A und 19B wird die obere Schicht 76, nachdem die obere Schicht 76 gebildet wurde, strukturiert, um später eine strukturierte obere Schicht 176 zu bilden, wobei eine akzeptable Fotolithographietechnik verwendet wird, beispielsweise indem die obere Schicht 76 durch eine Lichtmaske belichtet wird und die obere Schicht 76 entwickelt wird, um Abschnitte davon zu entfernen. Die strukturierte obere Schicht 176 umfasst Öffnungen 78.
  • In den 20A und 20B wird die mittlere Schicht 74 (falls verwendet) geätzt, um eine strukturierte mittlere Schicht zu bilden, wobei Prozesse wie die oben mit Verweis auf die mittlere Schicht 40 oder die mittlere Schicht 58 beschriebenen verwendet werden. Die untere Schicht 72 wird dann geätzt, um eine strukturierte untere Schicht 172 zu bilden. Die untere Schicht 72 wird unter Verwendung der strukturierten mittleren Schicht 174 (falls verwendet) als Ätzmaske oder der strukturierten oberen Schicht 176 als Ätzmaske geätzt, sodass die Struktur der mittleren Schicht 174 (oder der oberen Schicht 176) auf die untere Schicht 72 übertragen wird und eine strukturierte untere Schicht 172 entsteht. Die untere Schicht 138 weist Öffnungen 84 auf. Die Öffnungen 84 können sich innerhalb der Prozessschwankungen verjüngen oder vertikale Seitenwände aufweisen. Es kann jede geeignete Ätztechnik verwendet werden, wie etwa eine Nass- oder Trockenätzung mit einem Ätzmittel, das für das Material der unteren Schicht 72 selektiv ist. In einigen Ausführungsformen kann das Ätzmittel beispielsweise ein O2-basiertes oder N2/H2- basiertes Ätzgas sein, das in einer Ätzkammer mit anderen Prozessgasen verwendet wird.
  • In den 21A und 21B wird die strukturierte Dornschicht 136 in einem Ätzschritt selektiv geätzt, um die belichteten Dorne zu entfernen und dadurch Öffnungen 84 in der strukturierten Dornschicht 236 zu bilden. Nach einigen Ausführungsformen wird das Ätzen mit einem Nass- oder einem Trockenätzverfahren ausgeführt. Das jeweilige Ätzmittel kann eine HF-Lösung oder ein Gemisch aus NF3- und NH3-Gasen umfassen und das geeignete Ätzmittel ist vom Material der strukturierten Dornschicht 136 abhängig.
  • In den 22A und 22B wird die untere Schicht 172 entfernt, beispielsweise durch einen geeigneten Aschen- oder Ätzprozess. Entsprechend können mehrere Arten/Breiten von Öffnungen in der ursprünglichen Dornschicht 36 gebildet werden. Die Strukturen, aus denen die strukturierte Maske besteht, die auf der Maskenschicht 34 liegt, können Abschnitte der strukturierten Dornschicht 236, der Abstandhaltermaske 152 und des Rückseitenmaterials 168 umfassen. Gemeinsam werden sie als Kombinationsmaske 86 bezeichnet. Das Rückseitenmaterial 168 bedeckt insbesondere Abschnitte der Abstandhaltermaske 152, was, wie oben in Bezug auf die 17A und 17B beschrieben, zum Schutz der horizontalen Abschnitte der Abstandhaltermaske 152, die nicht entfernt werden sollen, erfolgt ist.
  • In den 23A und 23B wird die Maskenschicht 34 unter Verwendung der Kombinationsmaske 86 als Ätzmaske geätzt, sodass die Struktur der Kombinationsmaske 86 auf die Maskenschicht 34 übertragen wird und eine strukturierte Maskenschicht 134 entsteht, die auch als Maskenschicht 134 bezeichnet werden kann. Die strukturierte Maskenschicht 134 weist Öffnungen 88 auf, die sich von den Öffnungen 70A und 84 aus erstrecken. Das Ätzen der strukturierten Maskenschicht 134 kann mit jeder geeigneten Technik ausgeführt werden, wie etwa durch eine Nass- oder Trockenätzung, die für das Material der Maske 34 selektiv ist. In einigen Ausführungsformen kann das Ätzen der strukturierten Maskenschicht 134 Abschnitte oder die gesamte Kombinationsmaske 86 aufbrauchen, wie etwa das Rückseitenmaterial 168 der Kombinationsmaske 86. Wie in den 23A und 23B illustriert ist, wird beispielsweise das Rückseitenmaterial 168 gleichzeitig mit dem Ätzen der Dielektrikumschicht 34 entfernt, da das Rückseitenmaterial 168 und die Dielektrikumschicht 34 eine ähnliche Ätzselektivität aufweisen können. Die strukturierte Dornschicht 236 wird laut der Illustration nicht entfernt, da sie eine hohe Ätzselektivität gegenüber der Dielektrikumschicht 34 aufweist. Die Abstandhaltermaske 152 kann je nach der Ätzselektivität der Abstandhaltermaske 152 gegenüber der Dielektrikumschicht 34 ganz oder teilweise entfernt werden oder verbleiben. In Ausführungsformen, bei denen die Abstandhaltermaske 152 gleichzeitig mit dem Ätzen der Dielektrikumschicht 34 entfernt wird, dient das Rückseitenmaterial 168 dazu, den Abschnitt der Dielektrikumschicht 34 unter dem Rückseitenmaterial 168 vor dem Ätzen zu schützen. So könnte beispielsweise die Abstandhaltermaske 152 direkt unter dem Rückseitenmaterial 168 beim Ätzen der Dielektrikumschicht 34 versehentlich geätzt werden. Die Maskenschicht 32 dient als Ätzstopp für das Ätzen der Dielektrikumschicht 34.
  • In den 24A und 24B wird nach dem Ätzen der strukturierten Maskenschicht 134 die strukturierte Maskenschicht 134 zum Strukturieren der Maske 32 verwendet, um die strukturierte Maskenschicht 132 zu bilden, indem die strukturierte Maskenschicht 134 als Ätzmaske verwendet wird, sodass die Struktur der Maskenschicht 134 auf die Maske 32 übertragen wird. Das verwendete Ätzmittel und die Ätztechnik können selektiv für das Material der strukturierten Maskenschicht 132 sein.
  • Die strukturierte Maskenschicht 132 wird als Ätzmaske verwendet, um die Struktur der strukturierten Maskenschicht 132 schrittweise auf die darunterliegende Maske 30 zu übertragen, um die strukturierte Maske 130 zu bilden, auf die Zielschicht 28 zu übertragen, um die strukturierte Zielschicht 128 zu bilden, und auf die ESL 26 zu übertragen, um die strukturierte Ätzstoppschicht 126 zu bilden, indem jede Schicht der Reihe nach geätzt wird, wobei eine oder mehrere der vorherigen Schichten als Maske verwendet werden. In einigen Ausführungsformen können vor dem Verwenden der strukturierten Maskenschicht 132 als Maske beim Ätzen der darunterliegenden Schichten die verbleibenden Abschnitte der strukturierten Maskenschicht 134 in einem separaten Prozess entfernt werden. In einigen Ausführungsformen können die verbleibenden Abschnitte der strukturierten Maskenschicht 134 gleichzeitig mit der Ätzmaske 30 entfernt werden.
  • Die strukturierte Zielschicht 128 und die strukturierte Ätzstoppschicht 126 können mit einer geeigneten Ätztechnik geätzt werden, wie etwa mit einem Nass- oder Trockenätzprozess unter Verwendung eines geeigneten Ätzmittels, das für die jeweiligen zu ätzenden Materialien selektiv ist. Insbesondere kann die strukturierte Zielschicht 128 mit einem anisotropen Plasma- oder RIE-Ätzprozess geätzt werden, wobei ESL 26 als Ätzstopp verwendet wird, sodass die Breite der entstehenden Gräben innerhalb der Prozessschwankungen relativ einheitlich ist. In einem nachfolgenden Prozess kann ESL 26 unter Verwendung der strukturierten Zielschicht 128, der strukturierten Maske 130 oder der strukturierten Maskenschicht 132 als Maske geätzt werden, um die leitfähigen Elemente 24 zu belichten. Die in der strukturierten Zielschicht 128 gebildeten Öffnungen 90 können Gräben und/oder Durchkontaktierungen umfassen. So können beispielsweise Durchkontaktierungen bis zu den belichteten leitfähigen Elementen 24 reichen, während Gräben so gebildet werden können, dass sie einen Boden aufweisen, der zwischen der obersten Fläche der strukturierten Zielschicht 128 und der untersten Fläche der strukturierten Zielschicht 128 liegt.
  • In den 25A und 25B ist eine leitfähige Füllung 96 in den Öffnungen 90 der strukturierten Zielschicht 128 abgeschieden. Vor dem Abscheiden der leitfähigen Füllung 96 kann in den Öffnungen 90 eine Auskleidung 92 abgeschieden werden, die Diffusionssperrschichten, Adhäsionsschichten und/oder dergleichen umfassen kann. Die Auskleidung 92 kann aus Titan, Titannitrid, Tantal, Tantalnitrid oder anderen Alternativen gebildet sein. Eine Seed-Schicht 94 kann über der Auskleidung 92 gebildet sein und ein leitfähiges Material wie Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium oder dergleichen umfassen. Nach einigen Ausführungsformen umfasst das Bilden der Auskleidung 92 das Ausführen einer ALD- oder CVD-Abscheidung, und das Bilden der Seed-Schicht 94 kann das Ausführen einer PVD-, ALD- oder CVD-Abscheidung umfassen. Die leitfähige Füllung 96 kann in den restlichen Öffnungen 90 abgeschieden werden und kann jedes geeignete leitfähige Material umfassen, wie etwa Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Kobalt oder dergleichen. Die leitfähige Füllung 96 kann durch einen beliebigen geeigneten Prozess abgeschieden werden, wie etwa durch Elektroplattieren, elektroloses Plattieren, CVD, Sputtern oder dergleichen.
  • In den 26A und 26B wird eine Planarisierung, wie etwa die chemisch-mechanische Planarisierung (CMP), ausgeführt, um die Fläche der leitfähigen Füllung 96 zu ebnen und überschüssige leitfähige Materialien (z. B. von der Auskleidung 92 und/oder der Seed-Schicht 94) von der oberen Fläche der strukturierten Zielschicht 128 zu entfernen. In einigen Ausführungsformen können die Auskleidung 92, die Seed-Schicht 94 und die leitfähige Füllung 96 abgeschieden werden, während die strukturierte Maske 130 noch an ihrem Platz ist. In solchen Ausführungsformen kann die strukturierte Maske 130 bei der Planarisierung entfernt oder nach der Planarisierung geätzt werden. Der Planarisierungsprozess kann die leitfähige Füllung 96 in einzelne Kontakte 98 trennen.
  • In den 27A und 27B wird nach dem Planarisierungsprozess eine Abdeckschicht 99 über den Kontakten 98 gebildet. Die Abdeckschicht 99 kann über den Kontakten 98, der Auskleidung 92, der Seed-Schicht 94 und der strukturierten Zielschicht 128 abgeschieden werden. Die Abdeckschicht 99 kann Kobalt, Ruthenium oder dergleichen oder eine Kombination davon umfassen. Die Abdeckschicht 99 stellt Schutz für die darunterliegenden Abschnitte der Kontakte 98, wie etwa die leitfähige Füllung 96, vor Oxidation bereit. Beim Abscheiden der Abdeckschicht 99 kann die leitfähige Füllung 96 als eine Seed-Schicht für einige Abscheidungsprozesse verwendet werden. Nach dem Abscheiden des Materials für die Abdeckschicht 99 können in einigen Ausführungsformen überschüssige Abschnitte mit einem geeigneten Verfahren, wie etwa mit einem geeigneten Lithographieprozess, entfernt werden. So kann beispielsweise ein Fotolack über dem Material der Abdeckschicht 99 gebildet und mit strukturiert werden, um Materialabschnitte der Abdeckschicht 99 zu belichten, die nicht direkt über den Kontakten 98 liegen. Die belichteten Abschnitte können dann durch Ätzen oder ein anderes geeignetes Verfahren entfernt werden, und der Fotolack kann anschließend durch ein beliebiges geeignetes Verfahren entfernt werden. In einigen Ausführungsformen wird die Abdeckschicht 99 selektiv über der leitfähigen Füllung 96 oder über einer oder mehreren der leitfähigen Füllung 96, der Seed-Schicht 94 oder der Auskleidung 92 abgeschieden, beispielsweise durch Verwendung der leitfähigen Füllung 96, der Seed-Schicht 94 oder der Auskleidung 92 als einer Seed-Schicht für einige Abscheidungsprozesse. In einigen Ausführungsformen können überschüssige Abschnitte der Abdeckschicht 99 durch Reinigungsprozesse entfernt werden, mit denen Abschnitte der Abdeckschicht 99, die auf der strukturierten Zielschicht 128 abgeschieden, aber nicht gut mit ihr verbunden sind, entfernt werden können. Die Abdeckschicht 99 kann durch CVD, PECVD, PVD, ALD, PEALD, ECP, wie etwa Hochspannungs-ECP oder elektroloses Plattieren oder dergleichen abgeschieden werden. Die Abdeckschicht 99 kann eine Dicke zwischen etwa 10 Å und etwa 50 Å aufweisen.
  • In nachfolgenden Schritten kann eine zusätzliche Ätzstoppschicht (nicht abgebildet) gebildet werden, und über der zusätzlichen Ätzstoppschicht können weitere Dielektrikumschichten mit niedrigen k-Wert, Metallleitungen und Durchkontaktierungen (nicht gezeigt) gebildet werden. Die Prozessschritte und die daraus entstehenden Strukturen können ähnlich sein wie in den 1A und 1B bis 27A und 27B gezeigt.
  • Die Ausführungsformen bieten mehrere Vorteile. Das Verwenden der unteren Schicht aus amorphem Kohlenstoff, die aus der Gasphase abgeschieden wird, erlaubt das Abscheiden darüberliegender Schichten, um Filme höherer Qualität zu erzeugen, da höhere Temperaturen für den Abscheidungsprozess möglich sind als dies normalerweise der Fall wäre. Topografische Probleme werden gelöst und die darüberliegenden Schichten können so abgeschieden werden, dass mögliche Fehler oder Ausrichtungsfehler der Fotomaske verringert werden. Außerdem erlauben Ausführungsformen das Verwenden einer zweischichtigen oder einer dreischichtigen Fotomaskenstruktur. Bei einer dreischichtigen Fotomaskenstruktur kann die mittlere Schicht durch einen CVD-Prozess abgeschieden werden, da die untere Schicht der Ausführungsform eine hohe Temperaturtoleranz und Flexibilität bietet. Bei einer zweischichtigen Fotomaske kann die obere Schicht ein Metalloxid-Fotolack sein. Die untere Schicht kann dann als eine Vorlage für das Abscheiden eines Rückseitenmaterials auf einer Abstandhalterschicht verwendet werden, um das Strukturierungsdesign zu steuern.
  • Eine Ausführungsform ist ein Verfahren, das das Bilden einer Abstandhalterschicht über einer strukturierten Dornschicht umfasst. Das Verfahren umfasst auch das Bilden einer Isolierschicht über der Abstandhalterschicht, wobei die Isolierschicht amorphen Kohlenstoff umfasst. Das Verfahren umfasst auch das Strukturieren der Isolierschicht, um eine Öffnung in der Isolierschicht zu bilden, wobei die Öffnung einen Abschnitt der Abstandhalterschicht belichtet, der zwischen zwei Dornen der strukturierten Dornschicht angeordnet ist. Das Verfahren umfasst auch das Abscheiden eines Rückseitenmaterials in der Öffnung. Das Verfahren umfasst auch das Entfernen der Isolierschicht, des Rückseitenmaterials und des belichteten horizontalen Abschnitts der Abstandhalterschicht, wobei ein Abschnitt des Rückseitenmaterials zurückbleibt, der einen Abschnitt der Abstandhalterschicht zwischen den beiden Dornen der strukturierten Dornschicht bedeckt. Das Verfahren umfasst auch das Entfernen eines oder mehrerer Dorne von der strukturierten Dornschicht. Das Verfahren umfasst auch das Ätzen einer Zielschicht auf Grundlage einer Struktur, die durch eine Kombination aus der strukturierten Dornschicht, der Abstandhalterschicht und dem Rückseitenmaterial bestimmt wird. In einer Ausführungsform umfasst das Abscheiden des Rückseitenmaterials das Erhitzen der Isolierschicht auf eine Temperatur zwischen 200 °C und 300 °C. In einer Ausführungsform umfasst das Bilden der Isolierschicht das Verwenden eines chemischen Gasphasenabscheidungsprozesses mit einem Kohlenwasserstoffgas als einem Vorläufer. In einer Ausführungsform weist die Isolierschicht einen Sauerstoffgehalt von weniger als 5 % auf. In einer Ausführungsform bildet das Ätzen der Zielschicht zweite Öffnungen darin, wobei die zweiten Öffnungen ein leitfähiges Element belichten, das unter der Zielschicht liegt, ferner umfassend: Abscheiden einer Auskleidungsschicht; Abscheiden einer leitfähigen Füllung; Planarisieren der leitfähigen Füllung, um die leitfähige Füllung in erste Kontakte zu trennen; und Abdecken der ersten Kontakte mit einer Metallabdeckschicht. In einer Ausführungsform umfasst die Metallabdeckschicht Kobalt. In einer Ausführungsform umfasst das Verfahren ferner das Abscheiden einer ersten Strukturierungsschicht über der Isolierschicht, wobei die erste Strukturierungsschicht einen Fotolack umfasst, und das Entwickeln des Fotolacks, um eine erste Struktur in der ersten Strukturierungsschicht zu bilden; und das Übertragen der ersten Struktur auf die Isolierschicht beim Strukturieren der Isolierschicht. In einer Ausführungsform umfasst das Verfahren ferner: Abscheiden einer zweiten Strukturierungsschicht über der Isolierschicht, wobei die zweite Strukturierungsschicht zwischen der ersten Strukturierungsschicht und der Isolierschicht eingesetzt ist und die zweite Strukturierungsschicht ein anorganisches Material umfasst. In einer Ausführungsform umfasst der Fotolack der ersten Strukturierungsschicht ein Metalloxid.
  • Eine weitere Ausführungsform ist ein Verfahren, das das Abscheiden einer konformen Abstandhalterschicht über einen Satz von Dornen umfasst. Das Verfahren umfasst auch das Abscheiden einer unteren Schicht einer Fotomaske über der Abstandhalterschicht, wobei die untere Schicht der Fotomaske Kohlenstoff, Wasserstoff und Sauerstoff umfasst und die untere Schicht weniger als 5 % Sauerstoff umfasst. Das Verfahren umfasst auch das Abscheiden einer oberen Schicht der Fotomaske über der unteren Schicht, wobei die obere Schicht einen lichtempfindlichen Fotolack umfasst. Das Verfahren umfasst auch das Entwickeln der oberen Schicht, um darin eine erste Struktur zu bilden. Das Verfahren umfasst auch das Übertragen der ersten Struktur auf die untere Schicht, wobei die erste Struktur erste Abschnitte der Abstandhalterschicht belichtet. Das Verfahren umfasst auch das Abscheiden einer Schutzschicht über der unteren Schicht und über den ersten Abschnitten der Abstandhalterschicht. Das Verfahren umfasst auch das Entfernen der unteren Schicht, um die Abstandhalterschicht mit Ausnahme der ersten Abschnitte der Abstandhalterschicht, die unter der Schutzschicht liegen, zu belichten. Das Verfahren umfasst auch das Ätzen der Abstandhalterschicht, um horizontale Abschnitte der Abstandhalterschicht zu entfernen, mit Ausnahme der ersten Abschnitte der Abstandhalterschicht, die unter der Schutzschicht liegen. Das Verfahren umfasst auch das Ätzen des Dornsatzes, um einen oder mehrere Dorne zu entfernen. Das Verfahren umfasst auch das Ätzen einer unter der Abstandhalterschicht liegenden Zielschicht, um darin eine zweite Struktur zu bilden, wobei die zweite Struktur auf dem Verwenden der verbleibenden Abstandhalterschicht, die die ersten Abschnitte der Abstandhalterschicht umfasst, als Ätzmaske basiert. In einer Ausführungsform umfasst das Abscheiden der unteren Schicht: Bereitstellen eines Plasmagases in einer Abscheidungskammer; Bereitstellen eines Kohlenwasserstoffvorläufergases in der Abscheidungskammer; und Steuern einer Reaktion zwischen dem Plasmagas und dem Kohlenwasserstoffvorläufergas, um die untere Schicht abzuscheiden. In einer Ausführungsform wird die Schutzschicht bei einer Prozesstemperatur zwischen 200 °C und 300 °C abgeschieden. In einer Ausführungsform umfasst die obere Schicht der Fotomaske einen Metalloxid-Fotolack. In einer Ausführungsform umfasst das Verfahren ferner: Abscheiden einer mittleren Schicht der Fotomaske über der unteren Schicht vor dem Abscheiden der oberen Schicht. In einer Ausführungsform wird die mittlere Schicht durch einen chemischen Gasphasenabscheidungsprozess abgeschieden.
  • Eine weitere Ausführungsform ist ein Verfahren, das das Abscheiden einer unteren Maskenschicht über einer Zielschicht unter Verwendung eines Kohlenwasserstoffvorläufers umfasst. Das Verfahren umfasst auch das Abscheiden einer oberen Maskenschicht über der unteren Maskenschicht. Das Verfahren umfasst auch das Strukturieren der oberen Maskenschicht durch einen Fotolithographieprozess, um eine strukturierte obere Maske zu bilden. Das Verfahren umfasst auch das Ätzen der unteren Maskenschicht auf Grundlage der strukturierten oberen Maske, um eine strukturierte untere Maske zu bilden, wobei die strukturierte untere Maske erste Öffnungen umfasst. Das Verfahren umfasst auch das Abscheiden eines Rückseitenmaterials in den ersten Öffnungen. Das Verfahren umfasst auch das Entfernen der unteren Maskenschicht und das Ausdünnen des Rückseitenmaterials, wobei ein erster Abschnitt des Rückseitenmaterials auf einer Abstandhalterschicht zwischen zwei Dornen einer Dornschicht angeordnet ist. Das Verfahren umfasst auch das Ätzen der Abstandhalterschicht, um horizontale Abschnitte der Abstandhalterschicht zu entfernen, mit Ausnahme der horizontalen Abschnitte der Abstandhalterschicht unter dem ersten Abschnitt des Rückseitenmaterials. Das Verfahren umfasst auch das Übertragen einer Struktur der verbleibenden Abstandhalterschicht, der verbleibenden Dornschicht und des verbleibenden Rückseitenmaterials auf eine Maskenschicht. Das Verfahren umfasst auch das Ätzen einer Zielschicht auf Grundlage einer Struktur der Maskenschicht. In einer Ausführungsform umfasst das Verfahren ferner das Abscheiden einer mittleren Maskenschicht, die zwischen der oberen und der unteren Maskenschicht eingesetzt ist. In einer Ausführungsform erstreckt sich das Rückseitenmaterial über eine obere Fläche der unteren Maskenschicht, wobei das Abscheiden des Rückseitenmaterials das Erhitzen der unteren Maskenschicht auf eine Temperatur zwischen 200 °C und 300 °C umfasst. In einer Ausführungsform interagiert der Kohlenwasserstoffvorläufer mit Argon-Ionen, um die untere Maskenschicht durch einen chemischen Gasphasenabscheidungsprozess zu bilden, wobei die untere Maskenschicht amorphen Kohlenstoff umfasst. In einer Ausführungsform umfasst die obere Maskenschicht einen Metalloxid-Fotolack.
  • Die obigen Ausführungen skizzieren Elemente verschiedener Ausführungsformen, sodass Fachleute auf dem Gebiet die Aspekte dieser Offenbarung besser verstehen können. Fachleute auf dem Gebiet sollten beachten, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang dieser Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63286624 [0001]

Claims (20)

  1. Verfahren, umfassend: Bilden einer Abstandhalterschicht über einer strukturierten Dornschicht; Bilden einer Isolierschicht über der Abstandhalterschicht, wobei die Isolierschicht amorphen Kohlenstoff umfasst; Strukturieren der Isolierschicht, um eine Öffnung in der Isolierschicht zu bilden, wobei die Öffnung einen Abschnitt der Abstandhalterschicht belichtet, der zwischen zwei Dornen der strukturierten Dornschicht angeordnet ist; Abscheiden eines Rückseitenmaterials in der Öffnung; Entfernen der Isolierschicht, des Rückseitenmaterials und des belichteten horizontalen Abschnitts der Abstandhalterschicht, wobei ein Abschnitt des Rückseitenmaterials zurückbleibt, um einen Abschnitt der Abstandhalterschicht zwischen den beiden Dornen der strukturierten Dornschicht zu bedecken; Entfernen eines oder mehrerer Dorne von der strukturierten Dornschicht; und Ätzen einer Zielschicht auf Grundlage einer Struktur, die durch eine Kombination der strukturierten Dornschicht, der Abstandhalterschicht und des Rückseitenmaterials bestimmt ist.
  2. Verfahren nach Anspruch 1, wobei das Abscheiden des Rückseitenmaterials das Erhitzen der Isolierschicht auf eine Temperatur zwischen 200 °C und 300 °C umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden der Isolierschicht das Verwenden eines chemischen Gasphasenabscheidungsprozesses mit einem Kohlenwasserstoffgas als einem Vorläufer umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Isolierschicht einen Sauerstoffgehalt von weniger als 5 % aufweist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ätzen der Zielschicht zweite Öffnungen darin bildet, wobei die zweiten Öffnungen ein unter der Zielschicht liegendes leitfähiges Element belichten, ferner umfassend: Abscheiden einer Auskleidungsschicht; Abscheiden einer leitfähigen Füllung; Planarisieren der leitfähigen Füllung, um die leitfähige Füllung in erste Kontakte zu trennen; und Abdecken der ersten Kontakte mit einer Metallabdeckschicht.
  6. Verfahren nach Anspruch 5, wobei die Metallabdeckschicht Kobalt umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend das Abscheiden einer ersten Strukturierungsschicht über der Isolierschicht, wobei die erste Strukturierungsschicht einen Fotolack umfasst, und das Entwickeln des Fotolacks, um eine erste Struktur in der ersten Strukturierungsschicht zu bilden; und Übertragen der ersten Struktur auf die Isolierschicht beim Strukturieren der Isolierschicht.
  8. Verfahren nach Anspruch 7, ferner umfassend: Abscheiden einer zweiten Strukturierungsschicht über der Isolierschicht, wobei die zweite Strukturierungsschicht zwischen der ersten Strukturierungsschicht und der Isolierschicht eingesetzt ist und die zweite Strukturierungsschicht ein anorganisches Material umfasst.
  9. Verfahren nach Anspruch 7 oder 8, wobei der Fotolack der ersten Strukturierungsschicht ein Metalloxid umfasst.
  10. Verfahren, umfassend: Abscheiden einer konformen Abstandhalterschicht über einem Satz von Dornen; Abscheiden einer unteren Schicht einer Fotomaske über der Abstandhalterschicht, wobei die untere Schicht der Fotomaske Kohlenstoff, Wasserstoff und Sauerstoff umfasst, wobei die untere Schicht weniger als 5 % Sauerstoff aufweist; Abscheiden einer oberen Schicht der Fotomaske über der unteren Schicht, wobei die obere Schicht einen lichtempfindlichen Fotolack umfasst; Entwickeln der oberen Schicht, um darin eine erste Struktur zu bilden; Übertragen der ersten Struktur auf die untere Schicht, wobei die erste Struktur erste Abschnitte der Abstandhalterschicht belichtet; Abscheiden einer Schutzschicht über der unteren Schicht und über den ersten Abschnitten der Abstandhalterschicht; Entfernen der unteren Schicht, um die Abstandhalterschicht mit Ausnahme der ersten Abschnitte der Abstandhalterschicht, die unter der Schutzschicht liegen, zu belichten; Ätzen der Abstandhalterschicht, um horizontale Abschnitte der Abstandhalterschicht mit Ausnahme der ersten Abschnitte der Abstandhalterschicht, die unter der Schutzschicht liegen, zu entfernen; Ätzen des Satzes von Dornen, um einen oder mehrere Dorne zu entfernen; und Ätzen einer Zielschicht, die unter der Abstandhalterschicht liegt, um darin eine zweite Struktur zu bilden, wobei die zweite Struktur auf dem Verwenden der verbleibenden Abstandhalterschicht, die die ersten Abschnitte der Abstandhalterschicht umfasst, als Ätzmaske basiert.
  11. Verfahren nach Anspruch 10, wobei das Abscheiden der unteren Schicht umfasst: Bereitstellen eines Plasmagases in einer Abscheidungskammer; Bereitstellen eines Kohlenwasserstoffvorläufergases in der Abscheidungskammer; und Steuern einer Reaktion zwischen dem Plasmagas und dem Kohlenwasserstoffvorläufergas, um die untere Schicht abzuscheiden.
  12. Verfahren nach Anspruch 10 oder 11, wobei die Schutzschicht bei einer Prozesstemperatur zwischen 200 °C und 300 °C abgeschieden wird.
  13. Verfahren nach einem der vorhergehenden Ansprüche 10 bis 12, wobei die obere Schicht der Fotomaske einen Metalloxid-Fotolack umfasst.
  14. Verfahren nach einem der vorhergehenden Ansprüche 10 bis 13, ferner umfassend: Abscheiden einer mittleren Schicht der Fotomaske über der unteren Schicht vor dem Abscheiden der oberen Schicht.
  15. Verfahren nach Anspruch 14, wobei die mittlere Schicht durch einen chemischen Gasphasenabscheidungsprozess (CVD-Prozess) abgeschieden wird.
  16. Verfahren, umfassend: Abscheiden einer unteren Maskenschicht über einer Zielschicht unter Verwendung eines Kohlenwasserstoffvorläufers; Abscheiden einer oberen Maskenschicht über der unteren Maskenschicht; Strukturieren der oberen Maskenschicht durch einen Fotolithographieprozess, um eine strukturierte obere Maske zu bilden; Ätzen der unteren Maskenschicht auf Grundlage der strukturierten oberen Maske, um eine strukturierte untere Maske zu bilden, wobei die strukturierte untere Maske erste Öffnungen umfasst; Abscheiden eines Rückseitenmaterials in den ersten Öffnungen; Entfernen der unteren Maskenschicht und Ausdünnen des Rückseitenmaterials, wobei ein erster Abschnitt des Rückseitenmaterials auf einer Abstandhalterschicht zwischen zwei Dornen einer Dornschicht angeordnet ist; Ätzen der Abstandhalterschicht, um horizontale Abschnitte der Abstandhalterschicht zu entfernen, mit Ausnahme von horizontalen Abschnitten der Abstandhalterschicht unterhalb des ersten Abschnitts des Rückseitenmaterials; Übertragen einer Struktur der verbleibenden Abstandhalterschicht, der verbleibenden Dornschicht und des verbleibenden Rückseitenmaterials auf eine Maskenschicht; und Ätzen einer Zielschicht auf Grundlage einer Struktur der Maskenschicht.
  17. Verfahren nach Anspruch 16, ferner umfassend das Abscheiden einer mittleren Maskenschicht, die zwischen der oberen Maskenschicht und der unteren Maskenschicht eingesetzt ist.
  18. Verfahren nach Anspruch 16 oder 17, wobei sich das Rückseitenmaterial über eine obere Fläche der unteren Maskenschicht erstreckt, wobei das Abscheiden des Rückseitenmaterials das Erhitzen der unteren Maskenschicht auf eine Temperatur zwischen 200 °C und 300 °C umfasst.
  19. Verfahren nach einem der vorhergehenden Ansprüche 16 bis 18, wobei der Kohlenwasserstoffvorläufer mit Argon-Ionen interagiert, um die untere Maskenschicht durch einen chemischen Gasphasenabscheidungsprozess zu bilden, wobei die untere Maskenschicht amorphen Kohlenstoff umfasst.
  20. Verfahren nach einem der vorhergehenden Ansprüche 16 bis 19, wobei die obere Maskenschicht einen Metalloxid-Fotolack umfasst.
DE102022107920.1A 2021-12-07 2022-04-04 Filmabscheidung für einen strukturierungsprozess Pending DE102022107920A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163286624P 2021-12-07 2021-12-07
US63/286,624 2021-12-07
US17/706,152 2022-03-28
US17/706,152 US20230178379A1 (en) 2021-12-07 2022-03-28 Film deposition for patterning process

Publications (1)

Publication Number Publication Date
DE102022107920A1 true DE102022107920A1 (de) 2023-06-07

Family

ID=86382165

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022107920.1A Pending DE102022107920A1 (de) 2021-12-07 2022-04-04 Filmabscheidung für einen strukturierungsprozess

Country Status (5)

Country Link
US (1) US20230178379A1 (de)
KR (1) KR20230085819A (de)
CN (1) CN115910759A (de)
DE (1) DE102022107920A1 (de)
TW (1) TWI819796B (de)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017128235A1 (de) 2017-04-28 2018-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10217633B2 (en) * 2017-03-13 2019-02-26 Globalfoundries Inc. Substantially defect-free polysilicon gate arrays
US10692812B2 (en) * 2018-05-15 2020-06-23 Globalfoundries Inc. Interconnects with variable space mandrel cuts formed by block patterning
US10483119B1 (en) * 2018-09-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning (SADP) method
WO2020210088A1 (en) * 2019-04-12 2020-10-15 Tokyo Electron Limited Substrate processing method using multiline patterning
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017128235A1 (de) 2017-04-28 2018-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen

Also Published As

Publication number Publication date
CN115910759A (zh) 2023-04-04
US20230178379A1 (en) 2023-06-08
TWI819796B (zh) 2023-10-21
KR20230085819A (ko) 2023-06-14
TW202324513A (zh) 2023-06-16

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102014115955B4 (de) Struktur und Ausbildungsverfahren einer Damascene-Struktur
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102009012594B4 (de) Durch-Substrat-Via-Halbleiterkomponenten
DE102008016425B4 (de) Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
DE102015106713B4 (de) Struktur und Verfahren zum Ausbilden einer Dual-Damascene-Struktur
DE60211915T2 (de) Halbleiterstruktur unter verwendung von opfermaterial und zugeörige herstellungsverfahren
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102008016424A1 (de) Verringern der Strukturierungsschwankung von Gräben in Metallisierungsschichtstapeln mit einem Material mit kleinem ε durch Verringerung der Kontamination der Grabendielektrika
DE102015108695B4 (de) Ausbilden von Vias um eine Metallleitung herum
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102005020132A1 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102011002769A1 (de) Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102015119536A1 (de) Kondensatoren mit dielektrischen Barriereschichten und Verfahren zu deren Ausbildung
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE102014109352B4 (de) Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
DE102019130124A1 (de) Funktionale komponente innerhalb einer verbindungsstruktur einer halbleitervorrichtung und verfahren zum bilden derselben
DE102014209002A1 (de) Verfahren zum Herstellen integrierter Schaltungen
DE102009055433B4 (de) Kontaktelemente von Halbleiterbauelementen, die auf der Grundlage einer teilweise aufgebrachten Aktivierungsschicht hergestellt sind, und entsprechende Herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication