DE112006000811T5 - Ätzprozess für CD-Reduzierung eines ARC-Materials - Google Patents

Ätzprozess für CD-Reduzierung eines ARC-Materials Download PDF

Info

Publication number
DE112006000811T5
DE112006000811T5 DE112006000811T DE112006000811T DE112006000811T5 DE 112006000811 T5 DE112006000811 T5 DE 112006000811T5 DE 112006000811 T DE112006000811 T DE 112006000811T DE 112006000811 T DE112006000811 T DE 112006000811T DE 112006000811 T5 DE112006000811 T5 DE 112006000811T5
Authority
DE
Germany
Prior art keywords
layer
substrate
etching
arc
coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112006000811T
Other languages
English (en)
Other versions
DE112006000811B4 (de
Inventor
Philip L. Fremont Jones
Mark S. Los Altos Chang
Scott A. San Jose Bell
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE112006000811T5 publication Critical patent/DE112006000811T5/de
Application granted granted Critical
Publication of DE112006000811B4 publication Critical patent/DE112006000811B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zur Herstellung einer integrierten Schaltung, wobei das Verfahren umfasst:
Bereitstellen einer antireflektierenden Beschichtung (19) über einem Substrat (12), Bereitstellen einer Photolackschicht (16) über der antireflektierenden Beschichtung, Strukturieren der Photolackschicht, und Entfernen der antireflektierenden Beschichtung (19) gemäß einem ersten Strukturelement, das durch die Photolackschicht definiert ist, wobei sich das Verfahren dadurch auszeichnet, dass das Entfernen umfasst:
Bereitstellen eines polymerisierenden Gases, wodurch das Strukturelement in der antireflektierenden Beschichtung eine reduzierte kritische Abmessung erhält.

Description

  • Gebiet der vorliegenden Erfindung
  • Die vorliegende Erfindung betrifft die Herstellung integrierter Schaltungen (IC's). Insbesondere betrifft die vorliegende Erfindung einen Prozess zur Reduzierung kritischer Abmessungen (CD) bei der Herstellung integrierter Schaltungselemente.
  • Hintergrund der Erfindung
  • Halbleiterbauelemente oder integrierte Schaltungen (IC's) können Millionen von Bauelementen, etwa Transistoren, enthalten. Integrierte Schaltungen mit sehr hoher Packungsdichte (ULSI) können komplementäre Metalloxidhalbleiter- (CMOS) Feldeffekttransistoren (FET) aufweisen. Trotz der Fähigkeit konventioneller Systeme und Prozesse, die Herstellung Millionen von Bauelementen auf einem IC zu ermöglichen, besteht dennoch ein Bedarf, die Größe von IC-Strukturelementen zu reduzieren und damit die Anzahl der einzelnen Bauelement auf einem IC zu erhöhen.
  • Eine Begrenzung für die Größenreduzierung kritischer Abmessungen von IC's ist die konventionelle Lithographie. Im Allgemeinen bezeichnet die Projektionslithographie Prozesse, um ein Muster von einem Medium auf ein anderes Medium zu übertragen. Gemäß der konventionellen Projektionslithographie wird eine Siliziumscheiben, d. h. der Wafer, gleichmäßig mit einer strahlungsempfindlichen Schicht oder Beschichtung, d. h. dem Photolack, versehen. Eine Belichtungsquelle belichtet ausgewählte Bereiche der Oberfläche durch eine dazwischen liegende übergeordnete Schablone, d. h. die Maske oder das Retikel, das ein spezielles Muster aufweist. Die Strahlung kann Licht sein, etwa Ultraviolettlicht, vakuum-ultraviolettes (VUV) Licht oder Licht im tiefen Ultraviolettbereich. Die Strahlung kann auch eine Röntgenstrahlung, ein Elektronenstrahl, und dergleichen sein.
  • Die übliche Art, kritische Abmessungen zu verringern, beruht auf Verbesserungen des Lithographieprozesses. Derartige Verbesserungen können zeitaufwendig und teuer sein, und fordern häufig neue teuere Anlagen. Selbst wenn eine Verbesserung im lithographischen Prozess möglich ist, wird eine Verringerung der lithographischen CD von einer Zunahme der Defektdichten begleitet.
  • Gemäß einem konventionellen nicht-lithographischen Vorgang zur Verringerung von CD's (kritische Abmessungen) werden Maskenschichten einem Ätzprozess für Abstandshalter unterzogen, um lithographische Strukturen zu reduzieren. Diese Prozesse zur Ätzung von Abstandshaltern verwenden für gewöhnlich Abstandshaltermaterialien, die aus Oxidmaterial oder Nitridmaterial aufgebaut sind. Die Abstandshaltermaterialien werden typischerweise abgeschieden und um entsprechende Materialschichten herum geätzt, etwa bei Polysiliziumgates oder Nitrid/Oxid-Hartmasken.
  • Konventioneller Weise wird eine antireflektierende Beschichtung (ARC) unterhalb des Photolackmaterials oder der Hartmaske vorgesehen, um die Reflektivität zu reduzieren und um dabei Einkerbungen im Photolack, das Anheben des Lacks und eine Streuung der kritischen Abmessung des gewonnenen Musters zu reduzieren. Im Allgemeinen ist die ARC-(organisch oder anorganisch)Schicht eine relativ dünne Schicht, die nicht als eine Hartmaske verwendet wird, da sie zu dünn ist und keine Flexibilität in der Dicke auf Grund der optischen Entwurfsparameter zulässt. Konventionelle Prozesse zum Ätzen von Abstandshalter werden nicht mit organischen ARC-Schichten kombiniert auf Grund von Problemen hinsichtlich der Materialinkompatibilität, die bei Oxid- und Nitridabstandshalterprozessen auftreten.
  • Es besteht daher ein Bedarf, CD-Strukturelemente unter Anwendung nicht konventioneller Polymerisierungsätztechniken zu verkleinern. Ferner besteht ein Bedarf für einen Prozess zur Herstellung kleinerer CD-Abmessungen, wobei eine ARC-Schicht geätzt wird. Ferner besteht ein Bedarf für einen organischen ARC-Prozess, in welchem die CD-Größe durch Ätzen reduziert wird. Des weiteren gibt es einen Bedarf für ein Ätzrezept, das in wirksamer Weise die CD-Größe von ARC-Strukturelementen verringert. Zusätzlich gibt es einen Bedarf, die CD oder die endgültig inspizierte kritische Abmessung (FICD) eines organischen Polymerabstandshaltermaterials zu verringern, indem ein polymerisierender Gaszusatz für einen etablierten organischen ARC-Ätzprozess verwendet wird.
  • Überblick über die Erfindung
  • Eine beispielhafte Ausführungsform betrifft ein Verfahren zur Herstellung einer integrierten Schaltung. Das Verfahren umfasst das Bereitstellen einer antireflektierenden Beschichtung über einem Substrat, das Bereitstellen einer Photolackschicht über der antireflektierenden Beschichtung und das Strukturieren des Photolacks. Das Verfahren umfasst ferner das Entfernen der antireflektierenden Beschichtung gemäß einem ersten Strukturelement, das durch die Photolackschicht definiert ist. Das Entfernen umfasst das Vorsehen eines polymerisierenden Gases. Das Strukturelement in der antireflektierenden Beschichtung besitzt eine reduzierte kritische Abmessung.
  • Eine weitere anschauliche Ausführungsform betrifft ein Verfahren einer integrierten Schaltung. Das Verfahren umfasst das Bereitstellen einer organischen antireflektierenden Beschichtung und das Trockenätzen mit Plasma der antireflektierenden Beschichtung gemäß einem Strukturelement. Das Strukturelement definiert einen Abstand. Der Plasmatrockenätzprozess umfasst das Vorsehen eines polymerisierenden Mittels. Der Abstand in der antireflektierenden Beschichtung besitzt eine reduzierte kritische Abmessung.
  • Noch eine weitere anschauliche Ausführungsform betrifft ein Verfahren zur Herstellung eines Abstands für eine integrierte Schaltung. Das Verfahren umfasst die Schritte des Bereitstellens einer organischen Beschichtung über einem Substrat oder einer Schicht, die über einem Substrat ausgebildet ist, des Strukturierens einer Photolackschicht über der organischen Beschichtung und des selektiven Entfernens der organischen Beschichtung entsprechend dem Strukturelement. Die Beschichtung wird unter Anwendung eines polymerisierenden Mittels entfernt.
  • Kurze Beschreibung der Zeichnungen
  • Im Folgenden werden die beispielhaften Ausführungsformen mit Bezug zu den begleitenden Zeichnungen beschrieben, in denen gleiche Bezugszeichen gleiche Elemente benennen, und in denen:
  • 1 eine allgemeine schematische Blockansicht eines Ätzsystems zum Bearbeiten einer integrierten Schaltungsscheibe gemäß einer anschaulichen Ausführungsform ist;
  • 2 eine Flussdarstellung ist, die einen Ätzprozess für eine antireflektierende Beschichtung bei einem Substrat oder einer Schicht über einem Substrat darstellt;
  • 3 eine schematische Querschnittsansicht des in 1 gezeigten Substrats ist, wobei ein Abscheideschritt für eine antireflektierende Beschichtung gemäß einer anschaulichen Ausführungsform des in 2 gezeigten Prozesses dargestellt ist;
  • 4 eine schematische Querschnittsansicht des in 3 gezeigten Substrats ist, wobei ein Schritt zum Aufbringen einer Photolackschicht gemäß einer beispielhaften Ausführungsform des in 2 gezeigten Prozesses gezeigt ist;
  • 5 eine schematische Querschnittsansicht des in 4 dargestellten Substrats ist, wobei ein Schritt zum Strukturieren des Photolacks gemäß einer anschaulichen Ausführungsform des in 2 dargestellten Prozesses gezeigt ist;
  • 6 eine schematische Querschnittsansicht des in 5 gezeigten Substrats ist, wobei das Ätzen der antireflektierenden Beschichtung gemäß einer anschaulichen Ausführungsform des in 2 gezeigten Prozesses dargestellt ist;
  • 7 eine schematische Querschnittsansicht des in 6 gezeigten Substrats ist, wobei das Aufwachsen auf lateralen Seitenwänden der antireflektierenden Beschichtung gemäß einer anschaulichen Ausführungsform des in 2 gezeigten Prozesses dargestellt ist;
  • 8 eine schematische Querschnittsansicht des in 7 gezeigten Substrats ist, wobei ein Ätzschritt für eine Schicht gemäß einer anschaulichen Ausführungsform des 2 gezeigten Prozess dargestellt ist;
  • 9 eine schematische Querschnittsansicht des in 1 gezeigten Substrats ist, wobei ein Ätzschritt für das Substrat gemäß einer beispielhaften Ausführungsform des in 2 dargestellten Prozesses gezeigt ist; und
  • 10 ein schematische Querschnittsansicht des in 1 dargestellten Substrats ist, wobei ein Ätzschritt für eine Leitung gemäß einer beispielhaften Ausführungsform des in 2 dargestellten Prozesses gezeigt ist.
  • Detaillierte Beschreibung der bevorzugten Ausführungsformen
  • In 1 ist ein Substrat 12 in einem Ätzsystem 10 gezeigt. Das Substrat 12 kann ein Halbleitersubstrat, etwa ein Siliziumsubstrat, ein Galliumarsenidsubstrat, ein Germaniumsubstrat oder ein anderes Substratmaterial sein. Das Substrat 12 kann darauf eine oder mehrere Materialschichten aufweisen. Die Schichten können isolierende Schichten, leitende Schichten, Barrierenschichten oder andere Materialschichten sein, die unter Anwendung des hierin beschriebenen Prozesses zu ätzen oder selektiv zu entfernen sind.
  • Das Substrat 12 kann eine oder mehrere Materialschichten und/oder Strukturelemente, etwa Leitungen, Verbindungen, Kontaktdurchführungen, dotierte Bereiche, etc. aufweisen und kann des weiteren Bauelemente, etwa Transistoren, Mikroaktuatoren, Mikrosensoren, Kondensatoren, Widerstände, Dioden, etc. enthalten. Das Substrat 12 kann eine vollständige IC-Scheibe oder ein Teil einer IC-Scheibe sein. Das Substrat 12 kann ein Teil einer integrierten Schaltung, etwa ein Speicher, eine Verarbeitungseinheit, eine Eingabe/Ausgabe-Einrichtung, etc. sein. Das Ätzsystem 10 ist vorzugsweise ein Trockenätzsystem zur Erzeugung von Strukturelementen auf dem Substrat 12 oder von Schichten über dem Substrat 12 gemäß lithographischen Mustern. Das System 10 umfasst eine Kammer 50. In einer Ausführungsform ist das System 10 ein Plasmatrockenätzsystem, in welchem ein Plasma 26 zum Ätzen des Substrats 12 und/oder von Schichten über dem Substrat 12 (beispielsweise eine Schicht 52) verwendet wird. Die Kammer 50 umfasst einen oder mehrere Gaseinlässe 28 zur Aufnahme von Ätzgasen. Die Kammer 50 kann diverse Arten von Atmosphären enthalten. Vorzugsweise kann das System 10 diverse Gassorten bei ausgewählten Energien, Temperaturen, Drücken und Durchflussraten aufnehmen. Die Konfiguration des in 1 gezeigten Systems ist lediglich beispielhafter Natur.
  • In einer Ausführungsform sind die Schichten über dem Substrat 12 eine dielektrische Schicht und eine Gateleiterschicht (etwa in Form der Schicht 52), die zur Herstellung eines Gatestapels verwendet werden. Die dielektrische Schicht kann ein Gateoxid sein und die Gateleiterschicht kann Polysilizium oder Metall aufweisen. Der Gatestapel wird unter Anwendung des nachfolgend beschriebenen Prozesses hergestellt. In einer weiteren Ausführungsform können die Schichten über dem Substrat 12 leitende Schichten für Leitungen oder Zwischenschichtdielektrika sein. Es können diverse integrierte Schaltungsstrukturelemente unter Anwendung des nachfolgend beschriebenen Verfahrens hergestellt werden.
  • Das Substrat 12 und nachfolgende Materialschichten sind hierin nicht in einer beschränkenden Weise dargestellt. Die Prinzipien der vorliegenden Erfindung können auf ein beliebiges integriertes Schaltungssubstrat, eine Scheibe, eine Maskenschicht oder eine andere Schicht angewendet werden. Das Substrat 12 kann leitend, halbleitend oder isolierend sein.
  • Eine Schicht eines lithographischen Materials, etwa eine Photolackschicht oder ein Photolackmaterial 16, wird abgeschieden oder aufgebracht über einer antireflektierenden Beschichtung (ARC) 19. In einer Ausführungsform ist die antireflektierende Beschichtung 19 ein organisches ARC-Material, das über der Schicht 54 und dem Substrat 12 vorgesehen wird. Die Schicht 54 wird vorzugsweise dotiert, implantiert oder geätzt gemäß den Schichten 16 und 19. Die Schicht 19 wird vorzugsweise als eine Maske für ein nachfolgendes Ätzen von Schichten auf dem Substrat 12 oder von Schichten über dem Substrat 12 (etwa die Schicht 14), sowie als eine Beschichtung für die optische Verbesserung der Auflösung durch das Reduzieren von Reflektionen verwendet. Die Dicke und die Materialien für die Schicht 19 können ausreichend dünn und so gewählt werden, dass dieses ohne Beeinträchtigung des Materials 16 geätzt werden kann, aber dennoch dick genug ist, um eine Steuerung der kritischen Abmessung zu ermöglichen und um dem Ätzen von Schichten unterhalb der Schicht 19 zu widerstehen.
  • Das Photolackmaterial 16 kann eine Vielzahl von Photolackchemikalien aufweisen, die für lithographische Anwendungen geeignet sind. Das Material 16 kann aus einem Matrixmaterial oder Harz, einem senibelmachenden Material oder einem Inhibitor und einem Lösungsmittel aufgebaut sein. Das Photolackmaterial 16 ist vorzugsweise ein Photolack mit hohem Kontrast, kann jedoch alternativ auch ein Photolack mit geringem Kontrast sein.
  • Das Photolackmaterial 16 wird beispielsweise durch Aufschleudern über der Schichtstruktur 14 abgeschieden. Das Material 16 kann mit einer Dicke von weniger als 0,5 μm vorgesehen werden. Vorzugsweise besitzt das Photolackmaterial 16 eine Dicke zwischen 0,1 und 0,05 μm. Ferner kann das Photolackmaterial 16 ein Positivlack oder ein Negativlack sein und kann auch ein Mehrschichtlackmaterial repräsentieren. Die Art und Struktur von Lithographie und Photolackmaterial 16 ist nur beispielhaft.
  • Mit Bezug zu den 1 bis 10 wird ein beispielhafter zur Prozess zur Herstellung eines Gatestapels oder einer Gatestruktur wie folgt beschrieben. Gemäß 2 beschreibt ein Flussdiagramm 200 einen Prozess zur Herstellung eines Strukturelements mit reduzierter kritischer Abmessung (CD) in einer antireflektierenden Beschichtung (ARC). Der Prozess 200 stellt vorteilhafterweise eine Maske bereit, die geätzt werden kann, um eine Steuerung der Reduzierung kritischer Abmessungen zu ermöglichen. Der Prozess 200 vereinfacht die Herstellung und reduziert die Fertigungskosten und verbessert dennoch die Dichte. Der Prozess 200 verbessert die Genauigkeit, die mit kritischen Abmessungen verknüpft ist.
  • Der Prozess ist vorteilhafterweise kompatibel mit der zunehmenden Forderung im Hinblick auf das ständige Verringern der CD durch Hinzufügen eines polymerisierenden Gaszusatzes zu einem standardmäßigen Ätzprozess für eine organische antireflektierende Beschichtung (ARC). Durch Hinzufügen von polymerisierenden Gaszusätzen in der richtigen Menge kann eine deutliche Verringerung der kritischen Abmessungen nach der endgültigen Inspektion (FICD) erreicht werden, wobei dennoch vertikale organische ARC-Profile beibehalten werden. Das polymerisierende Mittel oder Gas kann als eine Gasmischung für eine konventionelle BARC-Ätzchemie an einer Einspeisanordnung 28 der Kammer 50 hinzugefügt werden.
  • Die Verwendung eines polymerisierenden Ätzprozesses zur Verringerung der CD ist eine kostengünstigere, einfachere und zuverlässigere Lösung, da dies zusammen mit verfügbaren Anlagen unter Anwendung gut etablierter, weniger kostenintensiver Lithographieprozesstechnologie verwendet werden kann. Moderne Lithographieanlagen sind sehr kostenintensiv und Änderungen an der Anlage können zusätzliche Änderungen an den Prozess sowie eine zusätzliche Prüfung des Prozesses erfordern.
  • Der Prozess 200 wird vorzugsweise angewendet, um Abstände (oder alternativ, Öffnungen, Gräben, etc.) zwischen Leitungen oder anderen Strukturelementen zu schaffen. In einer Ausführungsform sind die Abstände die Strukturelemente, die die kritische Abmessung besitzen. Die Abstände werden kleiner gemacht, indem Material an den Seitenwänden der ARC-Schicht 19 während des Ätzprozesses aufgewachsen wird. Die Anmelder haben überraschender Weise eine Möglichkeit gefunden, Material an lateralen Seitenwänden während des Ätzprozesses aufzuwachsen, wodurch die Abstände oder Löcher in dem Muster verringert werden, wobei gleichzeitig Material zur Erzeugung des Musters abgetragen wird.
  • Der Prozess 200 kann für Bitleitungen, Gateleiter oder einen beliebigen Bereich verwendet werden, in welchem die ARC (beispielsweise die Schicht 19) verwendet wird. Der vorteilhafte Prozess 200 verringert die Abmessung des Zwischenraums (beispielsweise die Abmessung zwischen Leitungen, Leitern oder anderen Strukturen).
  • In einer bevorzugten Ausführungsform wird in dem Prozess 200 ein Trockenätzprozess, etwa eine Plasmatrockenätzung unter Anwendung eines Ionenbeschusses, eingesetzt, um den Bereich der ARC-Schicht 19 zu entfernen, der nicht direkt unterhalb des Photolackmaterials 16 liegt. Ein während des Ätzprozesses verwendetes polymerisierendes Mittel bewirkt ein horizontales Aufwachsen der ARC-Schicht 19, wodurch die kritische Abmessung verringert wird.
  • In einer Ausführungsform kann das polymerisierende Mittel ein polymerisierendes Gas, etwa CH3F, CH2F2 oder CHF3 sein. Jedoch ist der Prozess 200 nicht auf spezielle Sorten an polymerisierenden Mitteln beschränkt. In einer Ausführungsform wird der Durchfluss des polymerisierenden Gases genau gesteuert. Ein zu großer Durchfluss des polymerisierenden Gases führt zu einer Ätzstoppbedingung, wohingegen ein zu geringer Durchfluss des polymerisierenden Mittels zu lediglich einer sehr geringen Reduzierung der FICD führt. Die Anmelder haben herausgefunden, dass eine gewissenhafte Optimierung des polymerisierenden Mittels in einem organischen ARC-Ätzprozess zu einer Verringerung der CD von 10 bis 20 nm führen kann, wobei dennoch der vertikale organische ARC-Photolack beibehalten werden kann.
  • Gemäß einer Ausführungsform führt ein Polymerisierungsmittel (CH3F bis 10 sccm), das einer konventionellen 75 CF4/25 HBr BARC-Ätzchemie entspricht, zu einer CD-Verringerung von 20 nm bei ursprünglich 90 nm. Die Verwendung des polymerisierenden Mittels führt zu vergleichbaren Defektdichten im Vergleich zu dem ursprünglichen BARC-Prozess ohne CH3F.
  • Gemäß einem Beispiel haben die Anmelder erkannt, dass die Verwendung eines polymerisierenden Mittels aus CH3F bei einem konventionellen Ätzprozess für eine organische unten liegende antireflektierende Beschichtung (BARC) bei ungefähr 10 Standardkubikzentimeter pro Minute (sccm) (90% der Zuführungskapazität) zu einer Verringerung der CD von 20 nm führt. Die Anmelder fanden ferner heraus, dass die Beteiligung von 12 sccm des polymerisierenden Mittels zu einer CD-Verringerung von 30 nm führt. Die Anmelder fanden ferner heraus, dass das Integrieren von 15 sccm oder mehr in das Ausgangsmaterial zu einer Ätzstoppbedingung führt.
  • Systembezogene Kriterien und Anwendungsparameter können die Optimierung der Bedingungen für das Einbringen des polymerisierenden Mittels beeinflussen. Beispielsweise ist der für das Erreichen eines geeigneten Durchgangs erforderliche Anteil an polymerisierendem Gas abhängig von den C/F-Verhältnis des ausgewählten polymerisierenden Mittels. Die Verwendung von CH2F2 anstelle von CH3F als ein polymerisierendes Gas führt zu einer geringeren CD-Reduzierung als Funktion des Anteils an zugeführtem Gas. Ferner können die Art des ARC-Materials, dessen Dicke, die Temperatur, der Druck und die Energiewerte die Bedingungen für das Einführen des polymerisierenden Mittels beeinflussen. Beispielsweise können höhere Temperaturen die Wachstumsrate auf der ARC-Schicht verringern, und ein höherer Druck kann die Wachstumsrate auf der ARC-Schicht erhöhen.
  • Der Prozess 200 wird nachfolgend mit Bezug zu 2 detaillierter beschrieben. Im Schritt 202 wird eine antireflektierende Beschichtung (ARC), etwa die Schicht 19, über dem Substrat 12 abgeschieden. Die ARC-Schicht 21 ist vorzugsweise eine organische ARC-Schicht, wie dies nachfolgend mit Bezug zu 3 erläutert ist. Wie zuvor dargelegt ist, können die Materialien und die Dicke der ARC-Schicht 19 so gewählt werden, dass geeignete optische Eigenschaften erreicht werden. Die Schicht 19 kann auch eine Verbindung oder eine zusammengesetzte Schicht aus diversen ARC-Schichten sein.
  • Im Schritt 206 wird eine Photolackschicht über der ARC-Schicht (aus Schicht 19) aufgebacht. Die Photolackschicht kann das Photolackmaterial 16 (1) sein. Im Schritt 208 wird die Photolackschicht in einem Lithographiesystem strukturiert. Es kann dabei eine beliebige Strukturierungstechnik eingesetzt werden.
  • Im Schritt 212 wird die ARC-Schicht 19 gemäß dem strukturierten Photolackmaterial 16 geätzt. Vorzugsweise wird die ARC-Schicht 19 entsprechend einer konventionellen ARC-Ätzchemie geätzt, wobei jedoch ein polymerisierendes Mittel der Gasmischung hinzugefügt wird. In einer Ausführungsform wird eine Trockenplasmaätzung mit einem polymerisierenden Mittel mit Kohlenstoff, Wasserstoff und Fluor eingesetzt. Die Verwendung des polymerisierenden Mittels in Verbindung mit dem Plasma führt zu einem horizontalen Wachstum auf lateralen Seitenwänden der Schicht 19. Das horizontale Wachstum verringert die kritische Abmessung, die mit dem Abstand des Musters in dem Photolackmaterial 16 verknüpft ist. Die ARC-Schicht 19 kann als Maske für die Herstellung integrierter Schaltungsstrukturen, etwa Gatestapel, Kontakten, Leitungen oder anderer IC-Strukturen verwendet werden. Vorzugsweise werden die Schichten 16 und 19 zusammen als eine Maske zum Ätzen von darunter liegenden Schichten oder Substraten eingesetzt.
  • Im Schritt 214 wird die darunter liegende Schicht (die Schicht 52) oder das Substrat 12 unter Anwendung des Materials 16 und der Schicht 19 als Muster geätzt. Der Abstand wird auf Grund der Verwendung des polymerisierenden Mittels verringert. Die vorliegende Erfindung ist nicht auf eine spezielle Art einer IC-Struktur beschränkt, sofern dies nicht anders in den Ansprüchen dargelegt ist.
  • Gemäß 3 enthält das Substrat 12 eine dielektrische Schicht 52 und eine Gateleiterschicht 54. Die Schichten 52 und 54 sind ein Stapel aus einer leitenden Schicht/dielektrischen Schicht für die Herstellung einer Gatestruktur. Die Schichten 52 und 54 können eine Vielzahl von Dicken repräsentieren und können aus einer Vielzahl von Materialien hergestellt werden. In einer Ausführungsform ist die Gateleiterschicht 54 eine 500 bis 2000 Angstrom dicke Polysiliziumschicht und die Schicht 52 ist eine 5 bis 20 Angstrom dicke Siliziumdioxid- oder Siliziumnitridschicht. Die Schicht 54 kann durch chemische Dampfabscheidung (CVD) über der Schicht 52 aufgebracht werden. Die Schicht 52 kann über dem Substrat 10 aufgewachsen oder abgeschieden (CVD) werden.
  • Alternativ können die Schichten 52 und 54 eine beliebige Art von Schichten sein, die in der IC-Herstellung eingesetzt werden. Die Schicht 52 und 54 sind lediglich Beispiele zusätzlicher Schichten, die über dem Substrat 12 vorgesehen sind. In einer weiteren Alternative wird die Schicht 9 über dem Substrat 12 vorgesehen und wird verwendet, um Strukturelemente in dem Substrat 12 zu bilden (9). In einer noch weiteren Ausführungsform wird die Schicht 19 über einer Metallschicht 82 vorgesehen und wird zur Herstellung von Leitungen eingesetzt.
  • Eine antireflektierende Beschichtung 19 ist über der Schicht 54 (Schritt 202) des Prozesses 200 vorgesehen. Die antireflektierende Beschichtung 19 kann durch CVD abgeschieden werden. In einer Ausführungsform wird die Schicht 19 als eine 350 bis 400 Angstrom dicke Schicht aus organischem ARC-Material (z. B. AR10 oder AR30) abgeschieden. Die Dicke der Schicht 19 wird entsprechend den optischen Parametern, mit der Lithographie verknüpft sind, ausgewählt.
  • Gemäß 4 wird eine Schicht aus Photolackmaterial 16 über der Schicht 19 (Schritt 206 des Prozesses 200) aufgebracht. Das Material 16 kann durch Aufschleudern mit einer Dicke von 100 bis 50000 Angstrom aufgebracht werden. Das Photolackmaterial 16 kann mittels diverser konventionellen Prozesse aufgebracht oder abgeschieden werden.
  • Gemäß 5 ist das Photolackmaterial 16 so ausgebildet, dass es ein Strukturelement 56 gemäß einem konventionellen Lithographieprozess (Schritt 208 des Prozesses 200) aufweist. Der Lithographieprozess nutzt vorteilhafterweise die antireflektierenden Eigenschaften der Schicht 19.
  • In einer Ausführungsform wird Strahlung eingesetzt, um das Strukturelement 56 in dem Material 16 zu strukturieren. Nach der Einwirkung der Strahlung wird das Material 16 entwickelt, so dass Strukturelemente 56 und 57 zurückbleiben. Die Strukturelemente 56 und 57 sind durch einen Abstand 59 getrennt.
  • Gemäß 6 wird die Schicht 19 entsprechend mit dem Strukturelement 16 entfernt. Vorzugsweise wird ein Trockenätzprozess eingesetzt, um die Schicht 19 zu entfernen. In einer Ausführungsform wird ein Plasmatrockenätzprozess für das Material der Schicht 54 ausgeführt, wobei der Ätzprozess selektiv zu dem Material 19 ist. Vorzugsweise wird ein konventioneller BARC-Ätzprozess angewendet. In einer bevorzugten Ausführungsform wird eine Ätzchemie aus 75 CF4 25 HBR in einem Plasmaätzprozess eingesetzt. Des weiteren enthält die Gasmischung (Schritt 214 aus Prozess 200) ein polymerisierendes Mittel, etwa CH3F, CH2F2 oder CH3F.
  • Wenn gemäß 7 die Schicht 19 entsprechend dem Material 16 geätzt wird, verringert der Trockenätzprozess, in welchem das polymerisierende Mittel enthalten ist, die kritische Abmessung des Abstands 59. Wie gezeigt, ist die Abmessung 69 kleiner als die Abmessung, die mit dem Abstand 59 verknüpft ist. Das Material 65 wird an den Seitenwänden 61 (6) der Schicht 19 zur Verringerung der Abmessung 69 aufgewachsen. Gemäß 8 wird die Schicht 54 entsprechend der Schicht 19 geätzt und enthält einen Abstand mit einer Abmessung, die mit der Abmessung 69 der Schicht 19 verknüpft ist (Schritt 214 des Prozesses 200).
  • Gemäß 9 wird der Prozess 200 eingesetzt, um das Substrat 12 unter Anwendung des Abstands 69 zu ätzen. Gemäß dieser Ausführungsform ist die Schicht 19 direkt über dem Substrat 12 vorgesehen. In 10 wird in einer weiteren Ausführungsform der Prozess 200 angewendet, um eine Metallschicht 84 über einer dielektrischen Zwischenschicht 82 zu ätzen, die über einer weiteren Metallschicht 78 liegt. Eine Schicht 55 enthält Transistoren. Wie in dieser Anmeldung durchgängig erläutert ist, kann der Prozess 200 auf die Herstellung einer beliebigen Schaltungsstruktur angewendet werden, in der ein ARC-Material verwendet wird.
  • Tabelle 1 zeigt nachfolgend Beispiele für unterschiedliche Prozessparameter für Ätzchemien der Schicht 19 in Bezug zu den dargestellten Ätzfaktoren. Tabelle 1 Beispiel
    Druck Temperatur Energie Spannung Chemie SCCM Ergebnis Ätzzeit
    1. 15mT 40° 300WT –325V 25 HBr/75CF4 (kein polymerisierendes Mittel) guter Endpunkt/ FICD = 96 nm 10.7 s
    2. 15mT 40° 300WT –325V 25HBr/75CF4/10CH3F guter Endpunkt/ FICD = 79,1 nm 20,0 s
    3. 15mT 40° 300WT –3125V 75CF4/25HBr/12CH3F8THe guter Endpunkt/ FICD = 69 nm 26,0 s
    4. 15mT 40° 300WT –325V 75CF4/25HBr/12CH2F2/8THe guter Endpunkt/ FICD = 82 nm 16,3 s
    5. 15mT 40° 300WT –325V 75CF4/25HBr/15CH3F/8THe Ätzstopp
  • Wie in Tabelle 1 gezeigt ist, wird in den Beispielen 2 bis 5 ein polymerisierendes Mittel eingesetzt. Es wurde in jedem Beispiel das Plasma überwacht, um zu bestimmen, wenn eine Ätzstoppbedingung oder eine Endpunktbedingung erreicht wurde. Wenn eine Endpunktbedingung erreicht wurde, wurde die Reduzierung des Abstandes nach Möglichkeit ermittelt. Im Beispiel 1 betrug der Abstand im ursprünglichen Photolack 90 nm und wuchs auf 96 nm an. In dem Beispiel 2 verringerte sich der Abstand von 90 nm auf 79,1 nm, wodurch gezeigt ist, dass das polymerisierende Mittel für eine Abstandsverringerung von 10,9 nm verantwortlich ist. Im Beispiel 3 verringerte sich der Abstand von 90 nm auf 69 nm. Im Beispiel 4 verringert sich der Abstand von 90 nm auf 79,1 nm, wodurch gezeigt ist, dass das Ausmaß an CD-Verringerung durch das C/F-Verhältnis des verwendeten polymerisierenden Mittels moduliert werden kann. Im Beispiel 5 trat eine Ätzstoppbedingung auf, wodurch angezeigt wird, dass eine zu starke Polymerisierungsbedingung zu einer Ätzstoppbedingung führen kann.
  • Zu beachten ist, dass obwohl die detaillierten Zeichnungen, die speziellen Beispiele, die Materialarten, die Dickenabmessungen, die Abmessungen und spezielle Werte, die angegeben sind, eine bevorzugte anschauliche Ausführungsform der vorliegenden Erfindung angeben, diese bevorzugte beispielhafte Ausführungsform lediglich zum Zwecke der Darstellung dient. Die Verfahren und Vorrichtungen der vorliegenden Erfindung sind nicht auf die genauen Details und der hierin offenbarten Bedingungen beschränkt. Obwohl beispielsweise spezielle Arten von ARC-Materialien und Ätzprozesse genannt sind, können andere Materialien und Prozessschritte eingesetzt werden. Es können diverse Änderungen an den hierin offenbarten Details durchgeführt werden, ohne von dem Grundgedanken der Erfindung abzuweichen, die durch die folgenden Patentansprüche definiert ist.
  • Zusammenfassung
  • In einem Verfahren zum Reduzieren der kritischen Abmessungen eines Strukturelements (56, 57, 59) in einer antireflektierenden Schichtstruktur (19) wird ein polymerisierendes Mittel verwendet. Die antireflektierende Beschichtungsstruktur kann verwendet werden, um diverse integrierte Schaltungsstrukturen herzustellen. Die antireflektierende Beschichtung kann verwendet werden, um Gatestapel zu bilden, die aus Polysilizium (54) und einer dielektrischen Schicht (52) aufgebaut sind, oder um leitende Strukturen (84) oder andere IC-Strukturen zu bilden. Das polymerisierende Mittel kann Kohlenstoff, Wasserstoff und Fluor enthalten.

Claims (10)

  1. Verfahren zur Herstellung einer integrierten Schaltung, wobei das Verfahren umfasst: Bereitstellen einer antireflektierenden Beschichtung (19) über einem Substrat (12), Bereitstellen einer Photolackschicht (16) über der antireflektierenden Beschichtung, Strukturieren der Photolackschicht, und Entfernen der antireflektierenden Beschichtung (19) gemäß einem ersten Strukturelement, das durch die Photolackschicht definiert ist, wobei sich das Verfahren dadurch auszeichnet, dass das Entfernen umfasst: Bereitstellen eines polymerisierenden Gases, wodurch das Strukturelement in der antireflektierenden Beschichtung eine reduzierte kritische Abmessung erhält.
  2. Verfahren nach Anspruch 1, gekennzeichnet durch: Ätzen einer isolierenden, leitenden oder halbleitenden Schicht (82, 54) über dem Substrat entsprechend der antireflektierenden Beschichtung.
  3. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass die reduzierte kritische Abmessung einen Abstand betrifft.
  4. Verfahren nach Anspruch 1, 2 oder 3, dadurch gekennzeichnet, dass das polymerisierende Gas CH2F2 und/oder CH3F enthält.
  5. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass die antireflektierende Beschichtung (19) eine Dicke von 350 bis 400 Angstrom aufweist.
  6. Verfahren nach Anspruch 1, 2 oder 3, dadurch gekennzeichnet, dass das Entfernen unter Anwendung einer Gasmischung mit 10% eines polymerisierenden Gases erfolgt.
  7. Verfahren nach Anspruch 6, dadurch gekennzeichnet, dass das polymerisierende Gas eine Durchflussrate von weniger als 15 sccm aufweist.
  8. Verfahren nach Anspruch 7, dadurch gekennzeichnet, dass die Durchflussrate zwischen 7 und 15 sccm liegt.
  9. Verfahren nach Anspruch 1, 2 oder 3, dadurch gekennzeichnet, dass das polymerisierende Gas eine Durchflussrate zwischen 7 und 12 sccm aufweist.
  10. Verfahren nach Anspruch 1, 2 oder 3, dadurch gekennzeichnet, dass ein Leerraum vorgesehen ist und die reduzierte kritische Abmessung eine Breite des Leerraums ist.
DE112006000811T 2005-04-04 2006-03-21 Ätzprozess für CD-Reduzierung eines ARC-Materials Expired - Fee Related DE112006000811B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/098,049 2005-04-04
US11/098,049 US7361588B2 (en) 2005-04-04 2005-04-04 Etch process for CD reduction of arc material
PCT/US2006/010231 WO2006107587A1 (en) 2005-04-04 2006-03-21 Etch process for cd reduction of arc material

Publications (2)

Publication Number Publication Date
DE112006000811T5 true DE112006000811T5 (de) 2008-01-31
DE112006000811B4 DE112006000811B4 (de) 2012-02-02

Family

ID=36790985

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112006000811T Expired - Fee Related DE112006000811B4 (de) 2005-04-04 2006-03-21 Ätzprozess für CD-Reduzierung eines ARC-Materials

Country Status (8)

Country Link
US (1) US7361588B2 (de)
JP (1) JP2008535280A (de)
KR (1) KR101345766B1 (de)
CN (1) CN101151716B (de)
DE (1) DE112006000811B4 (de)
GB (1) GB2438798B (de)
TW (1) TWI384529B (de)
WO (1) WO2006107587A1 (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394724B2 (en) * 2006-08-31 2013-03-12 Globalfoundries Singapore Pte. Ltd. Processing with reduced line end shortening ratio
US7709187B2 (en) * 2006-10-23 2010-05-04 International Business Machines Corporation High resolution imaging process using an in-situ image modifying layer
US7838432B2 (en) * 2007-04-16 2010-11-23 Applied Materials, Inc. Etch process with controlled critical dimension shrink
US20090286402A1 (en) * 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
JP2010283213A (ja) * 2009-06-05 2010-12-16 Tokyo Electron Ltd 基板処理方法
CN102263017B (zh) * 2010-05-24 2013-05-01 中芯国际集成电路制造(上海)有限公司 制作半导体器件栅极的方法
CN102468188B (zh) * 2010-11-19 2015-03-18 旺宏电子股份有限公司 一种半导体蚀刻方法
CN108400085B (zh) 2017-02-06 2019-11-19 联华电子股份有限公司 形成半导体元件图案的方法
US10304728B2 (en) * 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5264076A (en) * 1992-12-17 1993-11-23 At&T Bell Laboratories Integrated circuit process using a "hard mask"
US5837428A (en) * 1996-08-22 1998-11-17 Taiwan Semiconductor Manufacturing Compnay Ltd. Etching method for extending i-line photolithography to 0.25 micron linewidth
US5753418A (en) * 1996-09-03 1998-05-19 Taiwan Semiconductor Manufacturing Company Ltd 0.3 Micron aperture width patterning process
US5773199A (en) * 1996-09-09 1998-06-30 Vanguard International Semiconductor Corporation Method for controlling linewidth by etching bottom anti-reflective coating
KR100232187B1 (ko) * 1996-12-27 1999-12-01 김영환 반사방지막 식각방법
US5858621A (en) * 1997-01-22 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-layer silylation process using anti-reflective-coatings (ARC) for making distortion-free submicrometer photoresist patterns
JP3487734B2 (ja) * 1997-07-02 2004-01-19 沖電気工業株式会社 パターン形成方法
US6057587A (en) * 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
DK0918234T3 (da) * 1997-11-17 2002-07-01 Alanod Al Veredlung Gmbh Forbindelsesmateriale, især til reflektorer
US6218292B1 (en) * 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
KR100280622B1 (ko) * 1998-04-02 2001-03-02 윤종용 반도체 장치의 콘택 형성 방법
US6096659A (en) * 1998-04-13 2000-08-01 Advanced Micro Devices, Inc. Manufacturing process for reducing feature dimensions in a semiconductor
US6221776B1 (en) * 1998-05-05 2001-04-24 Cypress Semiconductor Corp. Anti-reflective coating used as a disposable etch stop
US6297170B1 (en) * 1998-06-23 2001-10-02 Vlsi Technology, Inc. Sacrificial multilayer anti-reflective coating for mos gate formation
JP3663924B2 (ja) * 1998-07-28 2005-06-22 株式会社日立製作所 原子炉の炉内構造物の取扱い方法及びその方法に用いる装置
US6156629A (en) * 1998-10-01 2000-12-05 Taiwan Semiconductor Manufacturing Company Method for patterning a polysilicon gate in deep submicron technology
JP2000208488A (ja) * 1999-01-12 2000-07-28 Kawasaki Steel Corp エッチング方法
JP2000252259A (ja) * 1999-02-25 2000-09-14 Sony Corp ドライエッチング方法及び半導体装置の製造方法
US6136679A (en) * 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6329118B1 (en) * 1999-06-21 2001-12-11 Intel Corporation Method for patterning dual damascene interconnects using a sacrificial light absorbing material
JP3499796B2 (ja) * 2000-02-21 2004-02-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR20020046478A (ko) * 2000-12-14 2002-06-21 박종섭 하부반사방지막의 식각 방법
US6514867B1 (en) * 2001-03-26 2003-02-04 Advanced Micro Devices, Inc. Method of creating narrow trench lines using hard mask
US6617257B2 (en) * 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
US6649517B2 (en) * 2001-05-18 2003-11-18 Chartered Semiconductor Manufacturing Ltd. Copper metal structure for the reduction of intra-metal capacitance
KR100415088B1 (ko) * 2001-10-15 2004-01-13 주식회사 하이닉스반도체 반도체장치의 제조방법
US7163879B2 (en) * 2002-05-30 2007-01-16 Sharp Kabushiki Kaisha Hard mask etch for gate polyetch
KR20040022996A (ko) * 2002-09-10 2004-03-18 삼성전자주식회사 브롬화수소(HBr) 및 헬륨(He) 가스를 사용한 부유게이트 패턴 형성방법 및 이를 이용하는 플래쉬 메모리장치 제조방법
KR100503814B1 (ko) * 2003-02-04 2005-07-27 동부아남반도체 주식회사 반도체 소자의 게이트 형성 방법
US6900123B2 (en) 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US6774032B1 (en) * 2003-05-30 2004-08-10 Intel Corporation Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US7030008B2 (en) * 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
US6955961B1 (en) * 2004-05-27 2005-10-18 Macronix International Co., Ltd. Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution

Also Published As

Publication number Publication date
GB0718786D0 (en) 2007-11-07
GB2438798A (en) 2007-12-05
JP2008535280A (ja) 2008-08-28
KR101345766B1 (ko) 2014-01-02
CN101151716A (zh) 2008-03-26
US20060223305A1 (en) 2006-10-05
TW200705545A (en) 2007-02-01
TWI384529B (zh) 2013-02-01
US7361588B2 (en) 2008-04-22
GB2438798B (en) 2009-01-28
DE112006000811B4 (de) 2012-02-02
KR20070122470A (ko) 2007-12-31
CN101151716B (zh) 2010-05-19
WO2006107587A1 (en) 2006-10-12

Similar Documents

Publication Publication Date Title
DE112006000811B4 (de) Ätzprozess für CD-Reduzierung eines ARC-Materials
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102006046374B4 (de) Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
EP1444724B1 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
DE102008007671B4 (de) Verfahren zur Bildung feiner Strukturen eines Halbleiterbauelements
DE102009046242B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Kontaktdurchführungen mit unterschiedlicher Größe durch Aufteilen des Kontaktlochstrukturierungsprozesses
DE102006015087B4 (de) Verfahren zur Herstellung von Transistoren
DE102014118843A1 (de) Verfahren zum Beheben von Problemen eines Linienbruchs und eines Fotolackrandes beim Strukturieren eines dreilagigen Fotolacks
DE10051380C2 (de) Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
EP0286708A1 (de) Verfahren zur Herstellung von Kontaktöffnungen in einer Doppellagenisolation
DE10252337A1 (de) Verfahren zum Herstellen eines Halbleiterbauteils
DE19929239A1 (de) Verfahren zur Herstellung von Halbleitern
DE102010064289A1 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE10319136A1 (de) Verbesserte mit Stickstoff angereicherte Barrierenschicht mit kleinem ε für eine Kupfermetallisierungsschicht
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
EP0338102A1 (de) Verfahren zur Herstellung von integrierten Halbleiterstrukturen welche Feldeffekttransistoren mit Kanallängen im Submikrometerbereich enthalten
DE10255936A1 (de) Verbesserte Technik zur Herstellung eines Oxid/Nitrid-Schicht-Stapels durch Steuern der Stickstoffionenkonzentration in einem Nitrierungsplasma
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE102009046259B4 (de) Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche
DE102011090167A1 (de) Integriertes Schaltungssystem mit Dielektrikum mit sehr geringem ε und Herstellungsverfahren davon
DE10059836A1 (de) Verfahren zur Strukturierung dielektrischer Schichten
DE102004036753A1 (de) Stickstofffreie ARC-Deckschicht und Verfahren zur Herstellung derselben
DE102010038740B4 (de) Verfahren zum Steuern kritischer Abmessungen von Kontaktdurchführungen in einem Metallisierungssystem eines Halbleiterbauelements während der Ätzung einer Si-Antireflektierungsschicht
DE10255865B4 (de) Verfahren zum Ätzen von Kontaktlöchern mit geringem Durchmesser

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8125 Change of the main classification

Ipc: H01L 21/311 AFI20060321BHDE

8127 New person/name/address of the applicant

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8128 New person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20120503

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee