DE102009046259B4 - Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche - Google Patents

Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche Download PDF

Info

Publication number
DE102009046259B4
DE102009046259B4 DE102009046259.7A DE102009046259A DE102009046259B4 DE 102009046259 B4 DE102009046259 B4 DE 102009046259B4 DE 102009046259 A DE102009046259 A DE 102009046259A DE 102009046259 B4 DE102009046259 B4 DE 102009046259B4
Authority
DE
Germany
Prior art keywords
layer
dielectric material
material layer
plasma
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE102009046259.7A
Other languages
English (en)
Other versions
DE102009046259A1 (de
Inventor
Hartmut Ruelke
Volker Jaschke
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Globalfoundries Dresden Module One Ltd Liabil De
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE102009046259.7A priority Critical patent/DE102009046259B4/de
Priority to US12/898,822 priority patent/US8415257B2/en
Publication of DE102009046259A1 publication Critical patent/DE102009046259A1/de
Application granted granted Critical
Publication of DE102009046259B4 publication Critical patent/DE102009046259B4/de
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

Verfahren mit:Bilden einer dielektrischen Materialschicht (202) auf einem Substrat (201) eines Mikrostrukturbauelements (200);Einwirken (210) auf die dielektrische Materialschicht (202) des Mikrostrukturbauelements (200) mittels einer Plasmaumgebung, die in einem inerten Gas erzeugt wird, wobei das dielektrische Material der Materialschicht (202) auf der Grundlage von TEOS (Tetraethylorthosilikat) hergestellt ist;Bilden einer Kohlenstoffschicht (203) auf der dielektrischen Materialschicht (202) durch Ausführen eines plasmaunterstützten chemischen Dampfabscheideprozesses (211);Bilden einer Lackmaske (205) über der Kohlenstoffschicht (203);Strukturieren der Kohlenstoffschicht (203) unter Anwendung der Lackmaske (205), um eine Hartmaske zu erzeugen; undStrukturieren der dielektrischen Materialschicht (202) unter Anwendung der Hartmaske (203) als eine Ätzmaske.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung integrierte Schaltungen und betrifft insbesondere Strukturierungsprozesse, die komplexe Hartmaskenmaterialsysteme in Mikrostrukturbauelementen erfordern.
  • Beschreibung des Stands der Technik
  • Die Herstellung von Mikrostrukturen, etwa von integrierten Schaltungen macht es erforderlich, dass kleinste Gebiete mit genau gesteuerter Größe in einer oder mehreren Materialschichten eines geeigneten Substrats hergestellt werden, etwa in einem Siliziumsubstrat, einem SOI- (Silizium-auf-Isolator-) Substrat oder in anderen geeigneten Trägermaterialien. Diese kleinsten Gebiete mit genau gesteuerter Größe werden typischerweise hergestellt, indem die Materialschicht(en) durch Anwenden von Lithographie-, Ätz-, Implantations-, Abscheideprozessen und dergleichen strukturiert werden, wobei typischerweise zumindest in einer gewissen Phase des Strukturierungsprozesses eine Maskenschicht über der bzw. den zu behandelnden Materialschichten zu bilden ist, um diese kleinsten Gebiete zu erzeugen. Allgemein besteht eine Maskenschicht aus einer Schicht aus Photolackmaterial oder wird durch diese hergestellt, die wiederum durch einen Lithographieprozess, typischerweise einen Photolithographieprozess, strukturiert wird. Während des Photolithographieprozesses wird der Lack auf die Substratoberfläche aufgeschleudert und anschließend mit Ultraviolettstrahlung durch eine entsprechende Lithographiemaske, etwa ein Retikel, hindurch selektiv belichtet, wodurch das Retikelmuster in die Lackschicht abgebildet wird, um darin ein latentes Bild zu erzeugen. Nach dem Entwickeln des Photolacks werden, abhängig von der Art des Lackes, d. h. Positivlack oder Negativlack, die belichteten Bereich oder die nicht belichteten Bereiche entfernt, um das erforderliche Muster in der Schicht aus Photolack zu bilden. Auf der Grundlage dieses Lackmusters werden dann die eigentlichen Bauteilmuster hergestellt, indem weitere Prozesse, etwa Ätz-, Implantations-, Ausheizprozesse und dergleichen ausgeführt werden. Da die Abmessungen der Muster in komplexen integrierten Mikrostrukturbauelementen stetig kleiner werden, müssen die zum Strukturieren der Bauteilstrukturelemente verwendeten Anlagen sehr strenge Anforderungen im Hinblick auf die Auflösung und die Überlagerungsgenauigkeit der beteiligten Herstellungsprozesse erfüllen. In dieser Hinsicht ist die Auflösung als ein Maß zu verstehen, um die konsistente Fähigkeit anzugeben, Abbildungen minimaler Größe unter Bedingungen vordefinierter Fertigungsschwankungen zu erzeugen. Ein wichtiger Faktor bei der Verbesserung der Auflösung ist der Lithographieprozess, in welchem in der Photomaske oder dem Retikel enthaltene Muster optisch auf das Substrat mittels eines optischen Abbildungssystems übertragen werden. Daher werden große Anstrengungen unternommen, um optische Eigenschaften des Lithographiesystems stetig zu verbessern, etwa die numerische Apertur, die Fokustiefe und die Wellenlänge der verwendeten Lichtquelle.
  • Das Auflösungsvermögen des optischen Strukturierungsprozesses hängt daher unter Umständen wesentlich von der Abbildungseigenschaft der verwendeten Anlage, den Photolackmaterialien für die spezielle Belichtungswellenlänge und den kritischen Sollabmessungen der Bauteilstrukturelemente, die in der betrachteten Bauteilebene zu bilden sind, ab. Beispielsweise besitzen Gateelektroden von Feldeffekttransistoren, die eine wichtige Komponente moderner Logikschaltungen repräsentieren, eine Länge von 50 nm und weniger in aktuell hergestellten Bauelementen, wobei kleinere Abmessungen für Schaltungsgenerationen, die aktuell in Entwicklung sind, vorgesehen sind. In ähnlicher Weise muss auch die Linienbreite von Metallleitungen, die in den mehreren Verdrahtungsebenen oder Metallisierungsschichten vorgesehen sind, an die kleineren Strukturgrößen in der Bauteilschicht angepasst werden, um der höheren Packungsdichte Rechnung zu tragen. Folglich liegen tatsächliche Strukturabmessungen deutlich unterhalb der Wellenlänge aktuell eingesetzter Lichtquellen, die in aktuellen Lithographiesystemen vorgesehen sind. Beispielsweise werden z. Z. kritische Lithographieschritte bei einer Belichtungswellenlänge von 193 nm durchgeführt, wodurch komplexe Techniken erforderlich sind, um schließlich Lackstrukturelemente zu erhalten, die Abmessungen deutlich unterhalb der Belichtungswellenlänge aufweisen. Daher werden äußerst nicht-lineare Prozesse typischerweise eingesetzt, um Abmessungen unterhalb des optischen Auflösungsvermögens zu erreichen. Beispielsweise werden extrem nicht-lineare Photolackmaterialien eingesetzt, in denen eine gewünschte photochemische Reaktion auf der Grundlage eines gut definierten Schwellwertes in Gang gesetzt wird, so dass schwach belichtete Bereiche im Wesentlichen nicht ändern, wohingegen Bereiche, in denen der Schwellwert überschritten wird, eine signifikante Änderung ihrer chemischen Stabilität in Bezug auf einen nachfolgenden Entwicklungsprozess zeigen.
  • Andererseits muss das Lackmaterial eine hohe chemische Stabilität im Hinblick auf nasschemische und plasmaunterstützte Ätzchemien aufweisen, um in effizienter Weise ein Muster der Lackmaske in die darunter liegenden Materialschicht oder Schichten zu übertragen. Folglich muss das Lackmaterial mit einer ausreichenden Schichtdicke bei einer gegebenen chemischen Widerstandsfähigkeit in Bezug auf die Ätzchemie vorgesehen werden, um dem Ätzangriff standzuhalten, bis eine gewünschte Ätzzeit zum Strukturieren der darunter liegenden Materialschichten erreicht ist. Durch die Einführung kürzerer Wellenlängen, beispielsweise 193 nm für aktuell angewendete Belichtungsanlagen, und mit der Aussicht auf noch kürzere Wellenlängen in der vorhersehbaren Zukunft müssen die Lackmaterialien in der photochemischen Zusammensetzung angepasst werden, während gleichzeitig eine geringere Schichtdicke der Lackmaterialien im Hinblick auf das Absorbieren eines ausreichenden Betrages an Energie innerhalb des Lackmaterials erforderlich ist, um damit in zuverlässiger Weise den Schwellwert des Lackmaterials zu überschreiten. Andererseits führen die ständig kleiner werdenden Strukturgrößen komplexer Mikrostrukturbauelemente zu einer Verringerung der Fokustiefe in Belichtungsanlagen, um das optische Auflösungsvermögen dieser Anlagen zu verbessern. Folglich können jegliche Ungleichmäßigkeiten in der Oberflächentopographie beim Auftragen des Lackmaterials zunehmend das Ergebnis des Belichtungsprozesses beeinflussen, während gleichzeitig die geringere erforderliche Dicke des Lackmaterials zunehmend den nachfolgenden Ätzprozess schwierig macht, da die geringere Lackdicke keine ausreichende Schutzwirkung für abgedeckte Materialien für eine Vielzahl komplexer Strukturierungsprozesse bietet. Da das Verhalten und die Zuverlässigkeit komplexer Mikrostrukturbauelemente, etwa von komplexen integrierten Schaltungen, wesentlich von dem Grad der Abbildungstreue beim Übertragen von Maskenstrukturelemente in die Materialsysteme des Halbleitersubstrats abhängen, hat die weitere Größenreduzierung von Mikrostrukturbauelementen zu einer zunehmenden Verwendung von sogenannten Hartmaskenmaterialien geführt. Im Allgemeinen ist ein Hartmaskenmaterial als ein Material zu verstehen, das einen hohen Ätzwiderstand in Bezug auf ein darunter liegendes Material oder Materialsystem bietet, wobei das Maskenmaterial mit einer geringeren Schichtdicke bereitgestellt wird, die effizient auf der Grundlage verfügbarer Lacksysteme mit geringerer Schichtdicke strukturiert werden kann. Somit wird in kritischen Strukturierungsprozessen zunehmend ein Stapel aus Schichten, der zumindest ein Hartmaskenmaterial und ein Lackmaterial aufweist, auf dem Materialsystem hergestellt, das eigentlich zu Strukturieren ist und die Lackmaske, die durch komplexe Lithographietechniken erhalten wird, wird verwendet, um zunächst das Hartmaskenmaterial zu strukturieren, das dann für die erforderliche Ätzwiderstandsfähigkeit während der weiteren Strukturierung des darunter liegenden Materialsystems sorgt. Des weiteren wird das Hartmaskenmaterial häufig zuminderst teilweise auch als ein ARC- (antireflektierendes Beschichtungs-) Material verwendet, um eine Rückreflektion der eintreffenden Belichtungsenergie zu verringern. Obwohl das Konzept von Hartmaskenmaterialien für bessere Strukturierungsergebnisse in komplexen Prozessstrategien sorgt, ist dieses Konzept mit einigen Nachteilen behaftet, die ebenfalls die Strukturierungssequenz für kritische Bauteilstrukturelemente wesentlich beeinflussen können. Beispielsweise sind typische Hartmaskenmaterialien Siliziumnitrid, Siliziumdioxid, Siliziumoxinitrid und dergleichen, da diese Materialien in Halbleiterherstellungsstrategien gut etabliert sind und da für diese Materialien auch eine Vielzahl komplexer Ätzrezepte verfügbar sind, um andere Materialien selektiv in Bezug auf das Hartmaskenmaterial abzutragen. Andererseits müssen diese Hartmaskenmaterialien nach dem Strukturierungsprozess selektiv in Bezug auf das darunter liegende Materialsystem entfernt werden, wozu eine moderat hohe Ätzselektivität des Hartmaskenmaterials in Bezug auf das darunter liegende Materialsystem erforderlich ist, wodurch die Anwendbarkeit des Hartmaskenkonzepts in kritischen Strukturierungsprozessen deutlich beschränkt wird. Ansonsten kann das Abtragen der Hartmaske einen wesentlichen Einfluss auf das schließlich erreichte Strukturierungsergebnis ausüben.
  • Angesichts dieser Situation wurde Kohlenstoff als ein günstiges Hartmaskenmaterial vorgeschlagen, da Kohlenstoff eine hohe Ätzwiderstandsfähigkeit bei einer Vielzahl an gut etablierten Ätzrezepten besitzt und damit für eine gewünschte hohe Ätzselektivität für eine Vielzahl an Materialien sorgt, die in Halbleiterfertigungsprozessen eingesetzt werden. Andererseits kann Kohlenstoff effizient durch ein Sauerstoffplasma entfernt werden, wie es auch für die Lackabtragung angewendet wird, wodurch das Entfernen des Kohlenstoffmaterials von strukturierten Materialsystemen möglich wird, ohne dass die darunter liegende Struktur negativ beeinflusst wird. Kohlenstoffmaterial kann beispielsweise in Form von diamantartigem Kohlenstoff durch eine Vielzahl von Abscheidetechniken, etwa Sputter-Abscheidung, chemische Dampfabscheidung und dergleichen aufgebracht werden. In einigen dieser Abscheidetechniken wird das Kohlenstoffmaterial mit einem hohen inneren Verspannungspegel erzeugt, was für eine Vielzahl an Anwendungen als ungeeignet erachtet wird. Ferner wurden plasmaunterstützte CVD-Techniken entwickelt, in denen ein amorphes Kohlenstoffmaterial effizient auf Materialien auf der Grundlage einer Vielzahl an Vorstufenmaterialien abgeschieden wird. Beispielsweise können viele Arten an Kohlenwasserstoffen als ein Vorstufenmaterial in Verbindung mit zusätzlichen Gasen, etwa Edelgasen, Wasserstoff und dergleichen, verwendet werden, wodurch erwünschte hohe Abscheideraten und eine Gleichmäßigkeit des amorphen Kohlenstoffmaterials ermöglicht werden. Somit repräsentiert amorpher Kohlenstoff, der auf der Grundlage plasmaunterstützter CVD-Techniken hergestellt wird, ein vielversprechendes Material für komplexe Strukturierungsprozesse, möglicherweise in Verbindung mit einem darüberliegenden dielektrischen ARC-Material, das für eine Vielzahl an kritischen Strukturierungsprozessen verwendet werden kann, etwa die Strukturierung von Isolationsgräben, von Gateelektrodenstrukturen, von Kontaktöffnungen in der Kontaktebene von Halbleiterbauelementen, von Kontaktlochöffnungen und Gräben in Metallisierungssystemen komplexer Halbleiterbauelemente, und dergleichen.
  • In komplexen Strukturierungsprozessen auf der Grundlage eines amorphen Kohlenstoffhartmaskenmaterials wurden gewisse Probleme, die mit dem Strukturierungsprozess zusammenhängen, in jüngerer Vergangenheit beobachtet, wobei angenommen wird, dass dies durch eine geringere Haftung des Kohlenstoffmaterials hervorgerufen wird, wie dies mit Bezug zu den 1a und 1 b erläutert ist.
  • 1a zeigt schematisch ein Mikrostrukturbauelement, etwa ein Halbleiterbauelement 100 in einer Fertigungsphase, in der eine Materialschicht 102 oder ein Materialsystem über einem Substrat 101, etwa einem Halbleitermaterial, einem isolierenden Material und dergleichen, vorgesehen ist und so zu strukturieren ist, dass Bauteilstrukturelemente mit vertikalen Abmessungen von einigen 100 nm bis einige 10 nm erhalten werden, wobei dies von den entsprechenden Entwurfsregeln abhängt. Beispielsweise muss eine Öffnung in der Materialschicht 102 mit einer kritischen Breite bereitgestellt werden, wie sie zuvor spezifiziert sind und/oder Metallgebiete müssen bewahrt werden, die eine kritische Abmessung in dem oben genannten Bereich besitzen. Häufig enthält die Materialschicht 102 oder zumindest ein Teil davon ein dielektrisches Material, das auf der Grundlage von Siliziumoxidmaterial hergestellt ist, da Silizium und entsprechende Oxide gut etablierte und häufig verwendete Materialien bei der Herstellung von Mikrostrukturbauelementen repräsentieren. Bekanntlich kann Siliziumdioxid auf der Grundlage plasmaunterstützter oder thermisch aktivierter CVD-Techniken hergestellt werden, indem diverse Vorstufenmaterialien angewendet werden, wobei ein häufig verwendetes Material TEOS (Tetraethylorthosilikat) ist, das dem resultierenden Siliziumdioxidmaterial gewisse gewünschte Eigenschaften verleiht. In der Materialschicht 102 oder dem Materialschichtsystem, wie in 1a gezeigt ist, ist zumindest ein oberer Bereich aus Siliziumdioxidmaterial aufgebaut, das auf der Grundlage von TEOS hergestellt ist. Ferner ist eine amorphe Kohlenstoffschicht 103 auf der Materialschicht 102 mit einer Dicke von ungefähr 20 bis 60 nm ausgebildet. Bei Bedarf ist eine dielektrische ARC-Schicht 104, etwa eine Siliziumdioxidschicht, eine Siliziumoxinitridschicht und dergleichen, über dem Hartmaskenmaterial 103 mit einer Dicke von ungefähr 10 bis 20 nm vorgesehen, wobei dies von den optischen Erfordernissen zum Erreichen einer gewünschten geringen Rückreflektion des gesamten Schichtstapels abhängt. Des weiteren ist ein Lackmaske 105 über den Schichten 103, 104 mit einer Dicke so gebildet, dass dies den optischen Erfordernissen entspricht, wobei eine ausreichende Ätzwiderstandsfähigkeit für die Strukturierung zumindest der Schicht 104, falls diese vorgesehen ist, oder der Schicht 103 erreicht wird. Ferner ist ein Maskenstrukturelement, etwa eine Öffnung 105a mit kritischen Abmessungen, wie sie zum Strukturieren der Schicht 102 vorgesehen sind, in der Lackmaske 105 ausgebildet.
  • Das in 1a gezeigte Bauelement 100 kann auf der Grundlage gut etablierter Prozesstechniken hergestellt werden. D. h., die Schicht oder das Schichtsystem 102 kann durch eine geeignete Abscheidetechnik hergestellt werden, woran sich ein plasmaunterstützter CVD-Prozess anschließt, um das amorphe Kohlenstoffmaterial 103 zu erzeugen. Während des plasmaunterstützten Abscheideprozesses wird ein geeignetes Kohlenwasserstoffgas der Prozesskammer zugeführt, in der ein Plasma eingerichtet ist, beispielsweise auf der Grundlage von Sauerstoff, Stickstoff, Ammoniak und dergleichen. Auf der Grundlage geeigneter Prozessparameter, etwa einer Hochfrequenzleistung, dem Druck und insbesondere der Temperatur des Bauelements 100, wird sodann die Schicht 103 abgeschieden. Die Auswahl geeigneter Prozessparameter des plasmaunterstützten CVD-Prozesses besitzt einen wesentlichen Einfluss auf die schließlich erreichten mechanischen Eigenschaften der Schicht 103, etwa im Hinblick auf die Haftung an dem darunter liegenden Material 102. Zu diesem Zweck werden typischerweise die Gasdurchflussrate zusätzlicher Gase, generell die Mischung der diversen Gaskomponenten, die Hochfrequenzleistung und insbesondere die Temperatur so ausgewählt, dass eine hohe Haftung des Materials 103 an dem Materialsystem 102 erfolgt. Andererseits können viele dieser Prozessparameter, etwa die Hochfrequenzleistung und die Temperatur, häufig nicht unabhängig von der speziellen Anwendung ausgewählt werden, da beispielsweise empfindliche Bauteilbereiche eine Beschränkung einer oder mehrerer dieser Parameter notwendig machen. Beispielsweise kann die Temperatur des Abscheideprozesses ggf. nicht über ungefähr 500 Grad C festgelegt werden, wenn die zu strukturierende Materialschicht 102 einen Teil einer Kontaktebene oder eines Metallisierungssystems des Bauelements 100 repräsentiert, da derartige hohe Temperaturen andere empfindliche Materialien, etwa Metallsilizidgebiete (nicht gezeigt) und dergleichen negativ beeinflussen können. In anderen Fällen ist eine erhöhte Hochfrequenzleistung nicht kompatibel mit empfindlichen Strukturen des Bauelements 100, beispielsweise im Hinblick auf Plasmaschäden und dergleichen. Folglich repräsentieren die Prozessparameter, die zum Aufbringen des amorphen Kohlenstoffmaterials 103 angewendet werden, häufig einen Kompromiss zwischen mechanischen Eigenschaften des Materials 103 und akzeptablen Parameterwerten. Danach wird bei Bedarf das ARC-Material 104 auf der Grundlage gut etablierter Prozesstechniken hergestellt, woran sich das Aufbringen eines Lackmaterials und ein nachfolgender Lithographieprozess zum Erzeugen des optischen Maskenstrukturelements 105 anschließen.
  • 1b zeigt schematisch das Bauelement 100 während eines Ätzprozesses 106, der so gestaltet ist, dass das kritische Maskenstruktur 105a in die Hartmaskenschicht 103 übertragen wird, wodurch das Strukturelement 103a darin erzeugt wird. Wie zuvor erläutert ist, kann während des Ätzprozesses 106 auch ein wesentlicher Anteil des Lackmaterials 105 verbrauch werden, wobei dennoch ausreichend Schutz abgedeckter Bereiche des Kohlenstoffmaterials 103 sichergestellt ist, indem die Lackdicke geeignet angepasst wird. Wenn das ARC-Material 104 vorgesehen ist, kann eine sehr dünne Lackschicht eingesetzt werden, da in diesem Falle das Lackmaterial eine hohe Ätzselektivität in Bezug auf das Material 104 besitzt, das dann zuverlässig das Kohlenstoffmaterial 103 schützt, wenn dieses auf der Grundlage eines Sauerstoffplasmas geätzt wird, während welchem auch der verbleibende Lackanteil verbraucht wird.
  • Daraufhin wird das Materialsystem 102 auf der Grundlage einer geeigneten Ätzchemie geätzt, während die Hartmaske 103 mit dem Maskenstrukturelement 103a für den gewünschten hohen Ätzwiderstand sorgt. Nach dem kritischen Ätzprozess wird das Kohlenstoffmaterial 103 effizient auf der Grundlage eines Sauerstoffplasmas entfernt, ohne dass im Wesentlichen das Material 102 beeinflusst wird. Es wurde jedoch erkannt, dass während der diversen Prozessschritte, d. h. während des Lithographieprozesses zum Strukturieren des Lackmaterials 105 und während der nachfolgenden Strukturierung des Kohlenstoffmaterials 103, die Haftung des Materials 103 an dem Material 102 unzureichend ist, wodurch das Ablösen von Kohlenstoffmaterial hervorgerufen wird, was zu einem möglichen Ausbeuteverlust führt. Da die Anpassung der mechanischen Eigenschaften des Kohlenstoffmaterials 103 schwer auf der Grundlage der Prozessparameter des plasmaunterstützten Abscheideprozesses erreichbar ist, ist die Anwendung des sehr vorteilhaften amorphen Kohlenstoffmaterials in kritischen Strukturierungssequenzen mit einem hohen Risiko verknüpft, das die Produktionsausbeute deutlich verringert wird.
  • Die Druckschrift US 2008 / 0 179 281 A1 beschreibt Verfahren zur Herstellung von Bauelementen, die kleine Strukturgrößen besitzen, wobei eine strukturierte erste Maskenschicht über einer Materialschicht gebildet wird und die erste Maskenschicht durch isotropes Ätzen strukturiert wird. Es wird sodann eine zweite Maskenschicht über der strukturierten ersten Maskenschicht abgeschieden und die durch isotropes Ätzen strukturierte erste Maskenschicht wird freigelegt.
  • Die Druckschrift US 2004 / 0 023 475 A1 beschreibt ein Verfahren zur Herstellung von Halbleiterbauelementen, wobei dotiertes amorphes Kohlenstoffmaterial und undotiertes amorphes Kohlenstoffmaterial abwechselnd aufgebracht werden, sodass damit ein Maskenstapel erzeugt wird. Das undotierte amorphe Kohlenstoffmaterial dient als Pufferschicht, die die Auswirkungen einer kompressiven Verspannung in den dotierten amorphen Kohlenstoffschichten begrenzt, um damit eine Ablösung des Materials zu vermeiden.
  • Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Techniken zur Verwendung eines amorphen Kohlenstoffmaterials als ein effizientes Hartmaskenmaterial, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest in der Auswirkung reduziert werden.
  • Überblick über die Erfindung
  • Die vorliegende Erfindung stellt Techniken bereit, um ein Kohlenstoffhartmaskenmaterial auf dielektrische Materialsysteme mit verbesserter Haftung aufzubringen, indem eine vorhergehende Plasmabehandlung auf der Grundlage einer inerten Gassorte angewendet wird und/oder indem eine dünne zusätzliche Haftschicht in Form eines silanbasierten Oxidmaterials oder eines kohlenstoffdortierten Oxidmaterials bereitgestellt wird. Es wurde erkannt, dass die mechanischen Eigenschaften einer Grenzfläche zwischen einem dielektrischen Material und insbesondere dem siliziumoxidbasierten Material, das auf der Grundlage von TEOS hergestellt ist, und einem Kohlenstoffmaterial deutlich verbessert werden können, indem eine Plasmabehandlung vor dem Abscheiden des Kohlenstoffmaterials ausgeführt wird, was zu einer größeren Flexibilität beim Einstellen anderer Prozessparameter des plasmaunterstützten CVD-Prozesses zum Herstellen des Kohlenstoffmaterials führt. Alternativ oder zusätzlich zur Plasmabehandlung kann eine dünne Haftschicht, beispielsweise mit einer Dicke von ungefähr 3 bis 10 nm, hergestellt werden, etwa auf der Grundlage eines Siliziumdioxidmaterials, das durch plasmaunterstützte CVD auf der Grundlage von Silan aufgebracht wird, oder auf der Grundlage eines kohlenstoffdotierten Siliziumoxidmaterials, bevor das Kohlenstoffmaterial abgeschieden wird, wodurch ebenfalls eine bessere mechanische Stabilität des gesamten Hartmaskenmaterialsystems erreicht wird. In einigen anschaulichen hierin offenbarten Ausführungsformen wird die Haftschicht mittels eines Plasmas vor dem Abscheiden des amorphen Kohlenstoffmaterials behandelt, wodurch eine noch höhere mechanische Stabilität für eine große Bandbreite an Prozessparameterwerten, etwa für die Temperatur, während des Abscheidens des amorphen Kohlenstoffmaterials erreicht wird.
  • Die zuvor genannte Aufgabe wird gelöst durch ein Verfahren mit den Merkmalen des Anspruchs 1.
  • Vorteilhafte Ausführungsformen sind in den Unteransprüchen angegeben.
  • Figurenliste
  • Ausführungsformen der vorliegenden Erfindung gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
    • 1a und 1b schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung einer Kohlenstoffhartmaske gemäß konventioneller Strategien zeigen;
    • 2a und 2b schematisch Querschnittsansichten eines Mikrostrukturbauelements während verschiedener Fertigungsphasen zeigen, wenn eine Kohlenstoffmaterialschicht auf einer zu strukturierenden dielektrischen Materialschicht auf der Grundlage des Kohlenstoffmaterials strukturiert wird, wobei eine Argonplasmabehandlung gemäß anschaulicher Ausführungsformen angewendet wird;
    • 2c und 2d schematisch Querschnittsansichten des Mikrostrukturbauelements zeigen, wenn eine Kohlenstoffhartmaskenmaterialschicht auf der Grundlage einer dünnen Haftschicht gemäß anschaulicher Ausführungsformen hergestellt wird;
    • 2e bis 2g schematisch Querschnittsansichten des Mikrostrukturbauelements während diverser Fertigungsphasen zeigen, wenn eine kohlenstoffbasierte Hartmaske durch Aufbringen einer dünnen Haftschicht in Verbindung mit einer Plasmabehandlung gemäß noch weiterer anschaulicher Ausführungsformen hergestellt wird; und
    • 2h bis 2k schematisch Querschnittsansichten eines Mikrostrukturbauelements in diversen Fertigungsphasen zeigen, in denen eine Kohlenstoffhartmaske, die gemäß den hierin offenbarten Prinzipien hergestellt ist, zum Strukturieren von Bauteilstrukturelementen gemäß noch weiterer anschaulicher Ausführungsformen verwendet wird.
  • Detaillierte Beschreibung
  • Im Allgemeinen stellt die vorliegende Erfindung Fertigungstechniken bereit, um ein kohlenstoffbasiertes Hartmaskenmaterial oder Materialsystem auf einer dielektrischen Schicht von Mikrostrukturbauelementen, etwa von Halbleiterbauelementen, zu bilden, wobei eine Haftschicht und/oder eine Plasmabehandlung die Haftung des Deckmaterials an dem darunter liegenden Material deutlich verbessert. Es wurde erkannt, dass insbesondere die Haftung von amorphen Kohlenstoffmaterial, das durch plasmaunterstützte CVD auf dielektrische Materialien aufgebracht wird, insbesondere auf siliziumdioxidbasierte Materialien, die durch plasmaunterstützte CVD oder subatmosphärische CVD unter Anwendung von TEOS als Vorstufenmaterial hergestellt sind, verringert sein kann und dass dies mit komplexen Strukturierungsstrategien ggf. nicht kompatibel ist, da eine erhöhte Wahrscheinlichkeit der Materialablösung während des aufwendigen Lithographie- und Strukturierungsprozesses besteht. Da diese Materialien häufig zumindest als Oberflächenschichten von Materialsystemen anzutreffen sind, die in komplexen Halbleiterbauelementen oder jeglicher Art an Mikrostrukturbauelementen zu strukturieren sind, kann die an sich vorteilhafte Verwendung auch für Kohlenstoffmaterialien als Hartmaskenmaterial deutlich eingeschränkt sein, da eine Änderung von Prozessparametern ggf. nicht mehr zu einem erforderlichen Grad an Haftung für viele dieser komplexen Strukturierungsprozesse führt und auch entsprechende erforderliche Parameter nicht ggf. als mit anderen Erfordernissen verträglich sind, etwa der Abscheiderate, dem thermischen Budget, dem Risiko an Plasma hervorgerufenen Schäden und dergleichen. Durch Anwenden einer Plasmabehandlung, etwa mit einem Plasma auf der Grundlage von Argon, oder durch Vorsehen einer dünnen Haftschicht, woraus sich eine bessere Haftung des Kohlenstoffmaterials daran ergibt oder durch Kombination dieser beiden Maßnahmen, d. h., das Bilden einer Haftschicht und das Behandeln der Haftschicht mit einer Plasmabehandlung vor dem Abscheiden des amorphen Kohlenstoffmaterials, wird generell eine bessere Haftung für ein gegebenes Prozessfenster von Prozessparametern des plasmaunterstützten CVD-Prozesses zum Abscheiden des amorphen Kohlenstoffmaterials geschaffen. Andererseits kann eine Plasmabehandlung effizient in den gesamten Prozessablauf eingebaut werden, ohne dass die Prozesskomplexität ansteigt, indem beispielsweise die Plasmabehandlung in-situ mit dem nachfolgenden Abscheiden des amorphen Materials ausgeführt wird. In ähnlicher Weise kann das Abscheiden einer dünnen Haftschicht, beispielsweise mit einer Dicke von 3 bis 10 nm, ohne unerwünschten Einfluss auf den gesamten Prozessablauf durchgeführt werden, wobei die Haftschicht bei Bedarf beim Entfernen der Kohlenstoffhartmaske abgetragen werden kann, ohne dass darunter liegende Materialsysteme unnötig beeinflusst werden.
  • Mit Bezug zu den 2a bis 2h werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben, wobei auch bei Bedarf auf die 1a und 1b verwiesen wird.
  • 2a zeigt schematisch ein Mikrostrukturbauelement 200, etwa ein komplexes Halbleiterbauelement, und dergleichen, das ein Substrat 201 aufweist, in und über welchem Bauteilstrukturelemente, etwa Schaltungselemente in Form von Transistoren, Widerständen, Kondensatoren, und dergleichen hergestellt sind. Der Einfachheit halber sind derartige Strukturelemente nicht gezeigt. In anderen Fällen, wie dies nachfolgend detaillierter beschrieben ist, umfasst das Substrat 201 ein Halbleitermaterial, das beispielsweise durch das Vorsehen von Isolationsgräben für Kondensatoren und dergleichen zu strukturieren ist. Ferner ist eine Materialschicht 202 über dem Substrat 201 ausgebildet und repräsentiert ein Materialsystem, das strukturiert werden muss, um damit Bauteilstrukturelemente zu schaffen, die kritische Abmessungen von mehreren 10 nm besitzen, etwa in Form von Gateelektrodenstrukturen komplexer Feldeffekttransistoren, in Form von Kontaktöffnungen und dergleichen. In einigen anschaulichen Ausführungsformen weist die dielektrische Schicht 202 zumindest an einer Oberfläche 202s gut etablierte dielektrische Materialien auf, beispielsweise siliziumdioxidbasierte Materialien, die spezielle Materialeigenschaften besitzen können, wie sie erreicht werden, während die dielektrische Schicht 202 oder zumindest ein Oberflächenbereich davon durch plasmaunterstützte CVD auf der Grundlage von TEOS hergestellt wird. In anderen Fällen wird die Schicht 202 zumindest teilweise auf der Grundlage von subatmosphärischer CVD hergestellt, was als ein thermisch aktivierter CVD-Prozess zu verstehen ist, der bei moderat hohen Drücken ausgeführt wird, etwa bei mehreren 13332,2 Pa (100 Torr), wodurch spezielle Eigenschaften, etwa verbesserte mechanische Haltbarkeit, hohes Spaltfüllvermögen des Abscheideprozesses, und dergleichen erreicht werden.
  • Beispielsweise wird ein Siliziumdioxidmaterial, das auf der Grundlage von subatmosphärischer CVD hergestellt ist, häufig als ein dielektrisches Material von Kontaktebenen von Halbleiterbauelementen eingesetzt, um halbleiterbasierte Leitungselemente, etwa Transistoren, Widerstände und dergleichen zu umschließen und zu passivieren.
  • Wie zuvor mit Bezug zu dem Bauelement 100 erläutert ist, können beim Herstellen eines amorphen Kohlenstoffhartmaskenmaterials auf einer Vielzahl dielektrischer Materialien, etwa auf der Schicht 202, reduzierte Hafteigenschaften auftreten, was zu Ausbeuteverlusten in den nachfolgenden Strukturierungsprozess führen kann. Zu diesem Zweck wird in einer anschaulichen Ausführungsform die Oberfläche 202s der Einwirkung einer Plasmaumgebung ausgesetzt, um eine Oberflächenbehandlung 210 auszuführen, die zu einer besseren Haftung eines nachfolgenden abgeschiedenen amorphen Kohlenstoffmaterials führt. Obwohl der genaue Mechanismus noch nicht verstanden ist, führt die Behandlung 210 zu einer modifizierten Grenzfläche 202i, die durch die Wechselwirkung der Plasmateilchen mit der Oberfläche 202s hervorgerufen wird. In einer anschaulichen Ausführungsform wird die Plasmaumgebung 210 auf der Grundlage einer inerten Gassorte, etwa mit Argon auf der Grundlage der folgenden Prozessparameter eingerichtet.
  • Der Druck während der Plasmabehandlung 210 kann auf ungefähr 399,97 (3 Torr) bis 1066,58 Pa (8 Torr), beispielsweise ungefähr 733,27 Pa (5,5 Torr) eingestellt werden.
  • Die Temperatur des Substrats 201 und somit der Oberfläche 202s wird auf ungefähr 300 bis 500 Grad C, etwa ungefähr 400 Grad C eingestellt.
  • In geeigneten Prozessanlagen, die zum Einrichten der Plasmaumgebung 210 ausgebildet sind, etwa für Verwendung in einem plasmaunterstützten Abscheideprozess geeignete Abscheideanlagen, die die Bearbeitung von 300 mm-Substraten ermöglichen, wird die Hochfrequenzleistung, d. h. die Leistung zum Erzeugen des Plasmas, auf ungefähr 300 bis 700 Watt, beispielsweise ungefähr 500 Watt eingestellt.
  • Des weiteren wird das Abstand des Substrats 201 von einer entsprechenden Gaszuführung, etwa einem Verteilerkopf, wie er typischerweise in verfügbaren Abscheideanlagen verwendet ist, auf ungefähr 500 bis 1500 mil, beispielsweise 1000 mil eingestellt.
  • Ferner wird die Gasdurchflussrate, etwa die Argondurchflussrate auf einem Bereich von 500 bis 1500 sccm, beispielsweise ungefähr 1000 sccm festgelegt.
  • In diesem Falle beträgt eine Dauer der Behandlung ungefähr 20 bis 90 Sekunden, beispielsweise ungefähr 30 Sekunden.
  • Zu beachten ist, dass einige der oben genannten Prozessparameter, etwa die Durchflussraten, die Hochfrequenzleistung, der Abstand des Substrats von der Gasverteilung und dergleichen, von dem speziellen Aufbau der verwendeten Abscheideanlage abhängen können. Zu beachten ist jedoch, dass auf der Grundlage der oben spezifizierten Parameterwerte geeignete Prozessparameter für eine beliebige Abscheideanlage effizient durch Ausführen von Experimenten und dergleichen bestimmt werden können.
  • 2b zeigt schematisch das Mikrostrukturbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein plasmaunterstützter Abscheideprozess 211 so ausgeführt wird, dass eine Kohlenstoffhartmaskenmaterialschicht 203 abgeschieden wird. Die Materialschicht 203 wird mit einer erforderlichen Dicke entsprechend der Ätzselektivität des Materials 203 in Bezug auf die dielektrische Schicht 202 für ein vorgegebenes Ätzrezept bereitgestellt. Beispielsweise ist typischerweise eine Dicke von ungefähr 10 bis 100 nm ausreichend, während in anderen Fällen, sogar mehrere 100 nm als Dicke für die Materialschicht 203 gewählt wird. Während des plasmaunterstützten Abscheideprozesses 211 werden geeignete Kohlenwasserstoffgase, beispielsweise mit der allgemeinen Formel CxHy, der Prozesskammer, zugeführt, möglicherweise in Verbindung mit weiteren Gasen, etwa Wasserstoff, Stickstoff, Ammoniak, Argon, Helium, und dergleichen, wodurch das Einstellen der Dichte und der Abscheiderate für die Materialschicht 203 möglich ist. Beispielsweise wird für eine Abscheideanlage, die zum Bearbeiten von 300 mm-Substraten verwendet wird, eine Durchflussrate von 200 bis 700 sccm für zusätzliche Gase angewendet. Andererseits wird das kohlenstoffenthaltende Vorstufenmaterial mit Durchflussraten von ungefähr 200 bis 2000 sccm zugeführt, während eine Hochfrequenzleistung auf mehrere 100 Watt bis 1000 Watt und mehr eingestellt wird, wobei der Druck auf mehrere 133,32 Pa (Torr) eingeregelt ist. Ferner wird die Temperatur des Substrats 201 auf ungefähr 200 bis 500 Grad C abhängig von anderen Erfordernissen, etwa den Abscheideraten und dergleichen eingestellt. Auf Grund der nachfolgenden Plasmabehandlung 210 (siehe 2a) wird eine bessere Haftung der Materialschicht 203 auf der dielektrischen Materialschicht 202 mittels der modifizierten Grenzfläche 202i erreicht. Beispielsweise können die Hafteigenschaften effizient auf der Grundlage eines MELT (modifizierten Randablösetest) ermittelt werden, in welchem standardisierte Messverfahren eingerichtet sind. Auf der Grundlage dieser Messverfahren wurde für ansonsten identische Prozessbedingungen eine Zunahme der Haftung von ungefähr 15% und mehr bestimmt für eine Prozesstemperatur von ungefähr 400 Grad C während des Abscheideprozesses 211, wenn das Kohlenstoffmaterial 203 auf der Grundlage der verbesserten Grenzfläche 202i im Vergleich zu konventionellen Prozessstrategien ohne eine vorhergehende Plasmabehandlung gebildet. In einigen anschaulichen Ausführungsformen werden die Behandlung 210 (siehe 2a) und der Abscheideprozess 211 in der gleichen Prozessanlage ausgeführt, wodurch eine in-situ-Prozesssequenz ermöglicht wird, die daher zu einer hocheffizienten Plasmabehandlung führt, da eine unerwünschte Einwirkung der Reinraumumgebung vermieden wird, wobei auch zusätzlich Substrattransport- und Handhabungsaktivitäten vermieden werden.
  • Folglich wird die Bearbeitung des Bauelements 200 fortgesetzt, indem ein Lackmaterial möglicherweise in Verbindung mit einem weiteren ARC-Material aufgebracht wird, um dass Material 203 effizient zu strukturieren, das dann als eine Ätzmaske zum Strukturieren des Materials 202 dient, wie dies auch nachfolgend detaillierter beschrieben ist.
  • 2c zeigt schematisch das Bauelement 200 gemäß weiterer anschaulicher Ausführungsformen, in denen die Haftung eines Kohlenstoffmaterials verbessert wird, indem eine dünne Haftschicht 212 auf dem dielektrischen Material 202 mit einer Dicke von mehreren Nanometern, etwa ungefähr 300 nm bis 10 nm, vorgesehen wird. In einer anschaulichen Ausführungsform wird die Haftschicht 212 als ein siliziumdioxidbasiertes Material bereitgestellt, das durch einen plasmaunterstützten CVD-Prozess 213 auf der Grundlage eines Silanvorstufenmaterials hergestellt wird. Durch das Vorsehen der Haftschicht 212 mit einer geringeren dicke wird folglich dem dielektrischen Material 202 eine „Oberflächeneigenschaft“ im Hinblick auf die nachfolgende Abscheidung des Kohlenstoffmaterials verliehen. Bekanntlich besitzt Siliziumdioxidmaterial, das auf der Grundlage von Silan in einem PECVD-Prozess hergestellt wird, andere Eigenschaften im Vergleich zu einem Siliziumdioxidmaterial, das auf der Grundlage von TEOS hergestellt wird. Beispielsweise wird der Abscheideprozess 213 mit den folgenden Prozessparametern für eine Abscheideanlage ausgeführt, die zum Bearbeiten von 300 mm-Substraten ausgebildet ist.
  • Der Druck in der Abscheideatmosphäre wird auf ungefähr 266,65 Pa (2 Torr) bis 799,93 Pa (6 Torr), beispielsweise ungefähr 399,97 Pa (3 Torr) eingestellt.
  • Die Temperatur des Bauelements 200 und somit des dielektrischen Materials 202 wird auf ungefähr 250 Grad bis 500 Grad C, etwa ungefähr 480 Grad C festgelegt.
  • Die Hochfrequenzleistung zum Einrichten des Plasmas wird auf ungefähr 300 bis 600 Watt, beispielsweise ungefähr 450 Watt eingestellt.
  • Der Abstand des Bauelements 200 in der Prozesskammer wird auf ungefähr 300 bis 600 mil, beispielsweise ungefähr 480 mil festgelegt.
  • Die Silanflussrate wird auf ungefähr 40 bis 120 sccm, etwa beispielsweise 80 sccm eingestellt.
  • Die Flussrate von Stickstoffoxid, das als ein zusätzliches Gas verwendet wird, (N2O) wird auf ungefähr 4000 bis 12000 sccm, beispielsweise ungefähr 8000 sccm eingestellt.
  • Eine Abscheiderate beträgt ungefähr 60 bis 80 nm pro Minute, beispielsweise ungefähr 70 nm pro Minute.
  • Wie zuvor erläutert ist, können diese Parameterwerte effizient an eine beliebige gewünschte Abscheideanlage angepasst werden, um die Haftschicht 212 mit den gewünschten Eigenschaften zu erzeugen.
  • 2d zeigt schematisch das Bauelement 200 mit der Kohlenstoffschicht 203, wenn diese auf der Haftschicht 212 durch Ausführen eines plasmaunterstützten CVD-Prozesses hergestellt ist, etwa durch den Prozess 211, wie er zuvor mit Bezug zu der 2b erläutert ist.
  • Folglich wird auch in diesem Falle eine bessere Haftung erreicht, wobei im Vergleich zu einer konventionellen Strategie, d. h. dem Bereitstellen des Materials 203 ohne die dazwischen liegende Haftschicht 212 und ohne eine Plasmabehandlung, eine Zunahme der Haftung von 15 bis 20% gemäß den standardmäßigen MELT-Bedingungen erreicht wird, wenn das Material 203 während des Prozesses 211 bei einer Temperatur von ungefähr 400 Grad C abgeschieden wird.
  • In anderen anschaulichen Ausführungsformen wird die Haftschicht 212 in Form einer kohlenstoffdotierten Oxidschicht bereitgestellt, das bewerkstelligt werden kann, indem ein kohlenstoffenthaltendes Vorstufenmaterial in die Abscheideumgebung beispielsweise des Prozesses 211 eingeführt wird. In anderen anschaulichen Ausführungsformen wird ein gewünschter Grad an Kohlenstoffkonzentration, beispielsweise ungefähr 0,5 bis 5 Atomprozent, in das Basismaterial der Haftschicht 212, etwa in Form von Siliziumdioxid, eingebaut mittels Implantation, Plasmabehandlung und dergleichen.
  • 2e zeigt schematisch das Bauelement 200 gemäß weiterer anschaulicher Ausführungsformen, in denen die Haftschicht 212, beispielsweise in Form eines Siliziumoxidmaterials, das aus einem Silanvorstufenmaterial hergestellt ist, zusätzlich der Einwirkung einer Plasmabehandlung, etwa der Behandlung 210 unterzogen wird, wie sie zuvor mit Bezug zu 2a erläutert ist. Folglich können die Oberflächeneigenschaften der Schicht 212 zusätzlich geändert werden und dies führt zu einer noch größeren Zunahme der Haftfähigkeit des amorphen Kohlenstoffmaterials, das auf der modifizierten Haftschicht 212 zu bilden ist.
  • 2f zeigt schematisch das Bauelement 200 während des Abscheideprozesses 211 zur Herstellung des Kohlenstoffmaterials 203 auf der Haftschicht 212, die mittels einer Plasmaumgebung behandelt wurde. Zu beachten ist, dass für den Abscheideprozess 211 und die Plasmabehandlung die gleichen Kriterien gelten, wie sie zuvor mit Bezug zu den 2a und 2b erläutert sind. Daraufhin können weitere Materialschichten bei Bedarf hergestellt werden, etwa ein ARC-Material in Form von Siliziumoxid, Siliziumoxinitrid und dergleichen, wobei eine beliebige geeignete Abscheidetechnik angewendet werden kann.
  • 2g zeigt schematisch das Bauelement 200 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist das Bauelement 200 der Einwirkung einer Ätzumgebung 214 ausgesetzt, die so gestaltet ist, dass das Material 202 selektiv in Bezug auf zumindest das Hartmaskenmaterial 203 abgetragen wird. In der gezeigten Ausführungsform ist zusätzlich ein dielektrisches ARC-Material 204 vorgesehen, das während des Prozesses 214 verbraucht wird. Ferner ist eine Lackmaske 205 vorgesehen, um die Schicht 204 bei Bedarf zu strukturieren und/oder um das Hartmaskenmaterial 203 zu strukturieren, wobei dies von der gesamten Prozessstrategie abhängt. Beispielsweise wird die Lackmaske 205 auf der Grundlage komplexer Lithographietechniken hergestellt und wird verwendet, um die Schicht 204 zu strukturieren, die zusätzlich ausreichende Ätzstoppeigenschaften beim Ätzen des Hartmaskenmaterial 203 auf der Grundlage eines Sauerstoffplasmas bereitstellt, wobei ebenfalls die Lackmaske 205 verbraucht werden kann. Daraufhin wird die Lackschicht 212, falls vorgesehen, auf der Grundlage des Prozesses 214 strukturiert und schließlich wird das Material 202 auf der Grundlage der Maske 203 geätzt, um damit ein Bauteilstrukturelement, etwa eine Öffnung und dergleichen 202a mit den erforderlichen kritischen Abmessungen zu erzeugen.
  • Während des gesamten Prozessablaufs zum Aufbringen des Kohlenstoffmaterials 203, zum Strukturieren des Materials und zum Ätzen des dielektrischen Materials 202 sorgt somit die bessere Haftung des Materials 203 für geringere Ausbeuteverluste und für einen höheren Grad an Flexibilität beim Auswählen geeigneter Prozessparameter für die Herstellung des Kohlenstoffmaterials 203. Beispielsweise führt die Haftung des Materialssystems 212 und 203 in Verbindung mit einer Plasmabehandlung, etwa der in 2e beschriebenen Behandlung 210, zu einer Zunahme der Haftung, wenn dies beispielsweise auf der Grundlage des MELT-Verfahrens gemessen wird, von ungefähr 20 bis 30% und mehr im Vergleich zu konventionellen Strategien die Haftschicht 212 und ohne eine Plasmabehandlung für Prozesstemperaturen des Kohlenstoffmaterials zwischen 300 und 400 Grad C.
  • Folglich können kritische Bauteilstrukturelemente effizient auf der Grundlage eines amorphen Kohlenstoffhartmaskenmaterials hergestellt werden, wobei für ein günstigeres Prozessfenster im Hinblick auf das Abscheiden des Kohlenstoffmaterials gesorgt ist.
  • Mit Bezug zu den 2h bis 2k werden nunmehr weitere Bauteilstrukturelemente beschrieben, die vorteilhaft auf der Grundlage eines Kohlenstoffhartmaskenmaterials hergestellt werden können, das auf der Grundlage einer der zuvor beschriebenen Ausführungsformen hergestellt ist.
  • 2h zeigt schematisch das Bauelement 200 in eine Fertigungsphase, in der eine Gateelektrodenstruktur 220 eines Feldeffekttransistors über einer Halbleiterschicht 215 gebildet ist. Die Gateelektrodenstruktur 220 umfasst einen Gateschichtstapel 221 mit diversen Materialschichten, wie dies erforderlich ist, etwa Gatedielektrika, Elektrodenmaterialien und dergleichen. Ferner weist das dielektrische Material 202, das über dem Schichtstapel 221 ausgebildet ist, ein beliebiges geeignetes Material auf, wobei zumindest dessen Oberfläche zu einer geringeren Haftung führt, wie dies zuvor erläutert ist. Ferner ist die Kohlenstoffschicht 203 über der dielektrischen Schicht 202 möglicherweise in Verbindung mit einer Haftschicht (nicht gezeigt) vorgesehen, wobei das Kohlenstoffmaterial 203 auf der Grundlage eines der zuvor beschriebenen Konzepte bereitgestellt wird, d. h. durch Verwenden einer Plasmabehandlung und/oder durch Aufbringen einer Haftschicht. Folglich kann die Gateelektrodenstruktur 220 auf der Grundlage des Materials 203, das die verbesserte Haftung zu dem darunter liegenden Material 202 besitzt, strukturiert werden.
  • 2i zeigt schematisch das Halbleiterbauelement 200 in einer weiteren fortgeschrittenen Fertigungsphase, in der das dielektrische Material 202 als ein dielektrisches Zwischenschichtmaterial in einer Kontaktebene vorgesehen ist, die somit Schaltungselemente 216, etwa Feldeffekttransistoren, umschließt und passiviert, die in und über der Halbleiterschicht 215 gebildet sind. Das dielektrische Zwischenschichtmaterial 202 enthält ebenfalls weitere Materialien, etwa eine Kontaktätzstoppschicht 202b, und dergleichen. Auch in diesem Falle wird die Kohlenstoffhartmaskenmaterialschicht 203 mit besserer Haftung auf der Grundlage der zuvor offenbarten Konzepte vorgesehen. Folglich können entsprechende Kontaktöffnungen 202a in den Materialien 202, 202b auf der Grundlage einer Lackmaske 205 hergestellt werden, die verwendet wird, um das Hartmaskenmaterial 203, beispielsweise in Verbindung mit einem zusätzlichen ARC-Material, zu strukturieren, wie dies auch zuvor erläutert ist.
  • 2j zeigt schematisch das Bauelement 200 in einer frühen Fertigungsphase, in der Öffnungen 215a, etwa Isolationsgräben, in der Halbleiterschicht 215 gebildet sind. Typischerweise wird das dielektrische Material 202 auf oder über der Halbleiterschicht 215 hergestellt und muss strukturiert werden, um die Öffnungen 202a darin zu erzeugen, was auf der Grundlage der Hartmaske 202 bewerkstelligt wird, die gemäß den zuvor beschriebenen Prinzipien hergestellt ist.
  • 2k zeigt schematisch das Mikrostrukturbauelement 200 mit mehreren Metallisierungsschichten 230, 240, die über dem Substrat 201 vorgesehen sind. Die Metallisierungsschicht 230 umfasst ein dielektrisches Material 231 und darin ausgebildete Metallleitungen 232. Die Metallisierungsschicht 240 weist ein geeignetes dielektrisches Material 202c, das zu einer geringeren Haftung eines amorphen Kohlenstoffmaterials führt, oder das Material 202c besitzt eine Oberflächenschicht 202 mit Eigenschaften, wie sie zuvor beschrieben sind. Auch in diesem Falle wird das Kohlenstoffhartmaskenmaterial 203 so vorgesehen, dass es die bessere Haftung im Vergleich zu konventionellen Vorgehensweisen besitzt, indem eine der zuvor beschriebenen Ausführungsformen angewendet wird. Folglich wird die Hartmaske 203 vorteilhaft zur Herstellung von Kontaktöffnungen 202a verwendet, die schließlich eine Verbindung zu den Metallleitungen 232 herstellen. In einem nachfolgenden Strukturierungsprozess können auch entsprechende Gräben 202t in den Materialien 202, 202c auf der Grundlage eines amorphen Kohlenstoffmaterials hergestellt werden, das gemäß den hierin offenbarten Prinzipien hergestellt ist.
  • Es gilt also: die vorliegende Erfindung stellt Techniken unter Verbesserung der Haftung eines amorphen Kohlenstoffmaterials bereit, was auf einem dielektrischen Material hergestellt wird, indem eine Plasmabehandlung ausgeführt wird oder eine dünne Haftschicht erzeugt wird, möglicherweise in Verbindung mit einer nachfolgenden Plasmabehandlung, bevor plasmaunterstützte CVD-Prozesse zur Herstellung des amorphen Kohlenstoffmaterials ausgeführt wird. Somit wird eine höhere Flexibilität bei der Auswahl geringerer Prozessparameter für das Erzeugen des Kohlenstoffhartmaskenmaterials ermöglicht, wodurch zu einer höheren Flexibilität beim Anwenden des Kohlenstoffhartmaskenkonzepts in diversen Fertigungsphasen bei der Herstellung komplexer Mikrostrukturbauelemente erhöht wird.

Claims (8)

  1. Verfahren mit: Bilden einer dielektrischen Materialschicht (202) auf einem Substrat (201) eines Mikrostrukturbauelements (200); Einwirken (210) auf die dielektrische Materialschicht (202) des Mikrostrukturbauelements (200) mittels einer Plasmaumgebung, die in einem inerten Gas erzeugt wird, wobei das dielektrische Material der Materialschicht (202) auf der Grundlage von TEOS (Tetraethylorthosilikat) hergestellt ist; Bilden einer Kohlenstoffschicht (203) auf der dielektrischen Materialschicht (202) durch Ausführen eines plasmaunterstützten chemischen Dampfabscheideprozesses (211); Bilden einer Lackmaske (205) über der Kohlenstoffschicht (203); Strukturieren der Kohlenstoffschicht (203) unter Anwendung der Lackmaske (205), um eine Hartmaske zu erzeugen; und Strukturieren der dielektrischen Materialschicht (202) unter Anwendung der Hartmaske (203) als eine Ätzmaske.
  2. Verfahren nach Anspruch 1, wobei die Plasmaumgebung unter Anwendung von Argon als inerte Gas eingerichtet wird.
  3. Verfahren nach Anspruch 1, wobei Einwirken (210) auf die dielektrische Materialschicht (202) durch die Plasmaumgebung und Bilden der Kohlenstoffschicht als ein in-situ-Prozess ausgeführt werden.
  4. Verfahren nach Anspruch 1, wobei das Bilden der dielektrischen Materialschicht (202) auf der Grundlage von TEOS in einem plasmaunterstützten chemischen Abscheideprozess erfolgt.
  5. Verfahren nach Anspruch 1, wobei das Bilden der dielektrischen Materialschicht (202) auf der Grundlage von TEOS in einen subatmosphärischen chemischen Dampfabscheideprozess erfolgt.
  6. Verfahren nach Anspruch 1, wobei eine Temperatur der dielektrischen Schicht auf 350 Grad C bis 450 Grad C eingestellt wird.
  7. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Isolationsgrabens oder einer Gateelektrodenstruktur (220) oder einer Kontaktöffnung (202A) oder einer Kontaktlochöffnung (202A) in einem dielektrischen Material eines Metallisierungssystems (230, 240) oder eines Grabens (202A) in dem dielektrischen Material des Metallisierungssystems durch Anwenden der strukturierten dielektrischen Materialschicht.
  8. Verfahren nach Anspruch 1, wobei Einrichten der Plasmaumgebung umfasst: Einstellen einer Temperatur der dielektrischen Materialschicht auf 300 Grad C bis 500 Grad C und eines Druckes der Plasmaumgebung auf 399,97 Pa bis1066, 58 Pa.
DE102009046259.7A 2009-10-30 2009-10-30 Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche Expired - Fee Related DE102009046259B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102009046259.7A DE102009046259B4 (de) 2009-10-30 2009-10-30 Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche
US12/898,822 US8415257B2 (en) 2009-10-30 2010-10-06 Enhanced adhesion of PECVD carbon on dielectric materials by providing an adhesion interface

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102009046259.7A DE102009046259B4 (de) 2009-10-30 2009-10-30 Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche

Publications (2)

Publication Number Publication Date
DE102009046259A1 DE102009046259A1 (de) 2011-05-05
DE102009046259B4 true DE102009046259B4 (de) 2019-10-10

Family

ID=43828568

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102009046259.7A Expired - Fee Related DE102009046259B4 (de) 2009-10-30 2009-10-30 Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche

Country Status (2)

Country Link
US (1) US8415257B2 (de)
DE (1) DE102009046259B4 (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014143337A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US9484201B2 (en) * 2015-02-23 2016-11-01 International Business Machines Corporation Epitaxial silicon germanium fin formation using sacrificial silicon fin templates
CN106033719B (zh) * 2015-03-18 2020-03-10 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
GB201522552D0 (en) * 2015-12-21 2016-02-03 Spts Technologies Ltd Method of improving adhesion

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143666A (en) * 1998-03-30 2000-11-07 Vanguard International Seminconductor Company Plasma surface treatment method for forming patterned TEOS based silicon oxide layer with reliable via and interconnection formed therethrough
US20030186477A1 (en) * 2002-03-29 2003-10-02 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20040023475A1 (en) 2002-07-31 2004-02-05 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US20080179281A1 (en) 2007-01-31 2008-07-31 Advanced Micro Devices, Inc. Methods for fabricating device features having small dimensions

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077751A1 (en) * 2005-10-03 2007-04-05 Mei-Ling Chen Method of restoring low-k material or porous low-k layer
US7670947B2 (en) * 2007-01-11 2010-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal interconnect structure and process for forming same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143666A (en) * 1998-03-30 2000-11-07 Vanguard International Seminconductor Company Plasma surface treatment method for forming patterned TEOS based silicon oxide layer with reliable via and interconnection formed therethrough
US20030186477A1 (en) * 2002-03-29 2003-10-02 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20040023475A1 (en) 2002-07-31 2004-02-05 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US20080179281A1 (en) 2007-01-31 2008-07-31 Advanced Micro Devices, Inc. Methods for fabricating device features having small dimensions

Also Published As

Publication number Publication date
DE102009046259A1 (de) 2011-05-05
US20110104866A1 (en) 2011-05-05
US8415257B2 (en) 2013-04-09

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102006046374B4 (de) Verfahren zum Reduzieren der Lackvergiftung während des Strukturierens von Siliziumnitridschichten in einem Halbleiterbauelement
DE2754396C2 (de)
DE69935100T2 (de) Verfahren zur Ätzung einer Metallisierung mittels einer harten Maske
DE112018005569B4 (de) Verfahren zum bilden eines dünnschichtstapels aus strukturierungsmaterial mit metallhaltiger deckschicht für erhöhte empfindlichkeit in der extrem-ultraviolett- (euv-) lithografie
DE112006000811B4 (de) Ätzprozess für CD-Reduzierung eines ARC-Materials
DE10319136B4 (de) Verfahren zur Herstellung einer Metallisierungsschicht mit einer mit Stickstoff angereicherten Barrierenschicht mit kleinem ε
DE112010004081B4 (de) Rezeptur für die Rotationsbeschichtung und Verfahren zum Ablösen eines ionenimplantierten Fotolacks
DE10153310A1 (de) Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
DE19929239A1 (de) Verfahren zur Herstellung von Halbleitern
DE102008049727A1 (de) Kontaktelemente und Kontaktdurchführungen eines Halbleiterbauelements, die durch eine Hartmaske und Doppelbelichtung hergestellt sind
DE10252337A1 (de) Verfahren zum Herstellen eines Halbleiterbauteils
DE102009055435A1 (de) Verstärkter Einschluss von Metallgateelektrodenstrukturen mit großem ε durch Verringern der Materialerosion einer dielektrischen Deckschicht beim Erzeugen einer verformungsinduzierenden Halbleiterlegierung
DE102009046259B4 (de) Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102013100079B4 (de) Verfahren zum Prozessieren eines Kontaktpads
DE102005022574A1 (de) Halbleiterspeicherbauelement mit Isolationsgrabenstruktur und zugehöriges Herstellungsverfahren
DE10259728B4 (de) Verfahren zur Herstellung einer Grabenisolationsstruktur und Verfahren zum Steuern eines Grades an Kantenrundung einer Grabenisolationsstruktur in einem Halbleiterbauelement
DE10339988B4 (de) Verfahren zur Herstellung einer antireflektierenden Schicht
DE102010038736A1 (de) Verfahren zum Steuern der kritischen Abmessungen von Gräben in einem Metallisierungssystem eines Halbleiterbauelements während des Ätzens einer Ätzstoppschicht
DE10304851A1 (de) Ätzverfahren
DE102004036753A1 (de) Stickstofffreie ARC-Deckschicht und Verfahren zur Herstellung derselben
DE102009023378A1 (de) Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
DE102010004690B4 (de) Verfahren zur Strukturierung eines dielektrischen Materials in einem Halbleiterbauelement
DE102005052053B4 (de) Verfahren zur Herstellung einer Ätzstoppschicht für eine Metallisierungsschicht mit verbesserter Ätzselektivität und besserem Einschlussverhalten

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABIL, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee