DE112008003598B4 - Verfahren zum Ätzen einer Öffnung mit hohem Längen-/Breitenverhältnis - Google Patents

Verfahren zum Ätzen einer Öffnung mit hohem Längen-/Breitenverhältnis Download PDF

Info

Publication number
DE112008003598B4
DE112008003598B4 DE112008003598.9T DE112008003598T DE112008003598B4 DE 112008003598 B4 DE112008003598 B4 DE 112008003598B4 DE 112008003598 T DE112008003598 T DE 112008003598T DE 112008003598 B4 DE112008003598 B4 DE 112008003598B4
Authority
DE
Germany
Prior art keywords
etching
gas
sccm
plasma
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112008003598.9T
Other languages
English (en)
Other versions
DE112008003598T5 (de
Inventor
Russell A. Benson
Ted Taylor
Mark Kiehlbauch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of DE112008003598T5 publication Critical patent/DE112008003598T5/de
Application granted granted Critical
Publication of DE112008003598B4 publication Critical patent/DE112008003598B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zum Ätzen einer Öffnung in eine Dielektrikumsschicht, welches umfasst: Einleiten von Gasen in eine Kammer, wobei die Gase ein Sauerstoffquellengas, ein Inertgas, C2F4, sowie C4F6, C4F8 oder ein Gemisch von C4F6 und C4F8 umfassen, wobei C4F6:C2F4, C4F8:C2F4, oder C4F8 und C4F6:C2F4 in einem Verhältnis von etwa 0,25:1 bis 1,5:1 vorliegen, das Bilden eines Plasmas aus den Gasen in der Kammer; und das Ätzen der Öffnung durch die Dielektrikumsschicht zu einem darunter liegenden Substrat mit dem, wobei während des Ätzens eine gleichförmige Polymerschicht entlang der Seitenwände der Öffnung gebildet und aufrechterhalten wird, und wobei das Sauerstoffquellengas mit Kohlenstoff und Fluor enthaltenden Ionen in dem Plasma reagiert, um die Abscheidung von Polymer am Boden der Öffnung während des Ätzens zu unterdrücken.

Description

  • TECHNISCHES GEBIET
  • Ausführungsformen der Erfindung betreffen die Gaschemie und Verfahren zum Plasmaätzen von Kontakten mit hohem Längen-/Breitenverhältnis (HARCs) in Oxidschichten.
  • HINTERGRUND DER ERFINDUNG
  • In Halbleitervorrichtungen werden Isolierschichten, wie Siliciumdioxid (SiO2), dotiertes Oxid, wie BPSG, und Siliciumnitrid eingesetzt, um Leiterschichten, wie dotiertes Polysilicium, Metalle, hochschmelzende Metallsilicide und dergleichen, elektrisch zu trennen. Das Ätzen eines Kontakts mit hohem Längen-/Breitenverhältnis (HARC) ist ein Schlüsselverfahren zum Bilden von Kontaktlochverbindungen durch Isolierschichten zu einer aktiven Fläche oder einer Leiterschicht in hochdichten integrierten Vorrichtungen. HARCs erfordern Ätzverfahren, die ein vertikales Profil und eine definierte kritische Dimension (CD) bilden. Eine weitere Anwendung für HARC-Merkmale in Dielektrika ist die Bildung von Kondensator- oder Behälterstrukturen in einem Schichtkondensator DRAM.
  • Bei einem typischen Plasmaätzen wird ein Substrat auf einem Spannfutter in einer Gaskammer positioniert, ein Ätzgas wird in die Kammer gegeben, und der Kammerdruck wird vermindert. Eine Energiequelle/Stromversorgung erzeugt ein geladenes elektrisches oder elektromagnetisches Feld durch Elektroden, die innerhalb der Kammer angeordnet sind, um das Ätzgas durch Energiezufuhr in einen Plasmazustand zu versetzen. Das Ätzgas wird in ein aufgetrenntes Gemisch von ungeladenen Neutralteilchen sowie Elektronen und positiven Ionen überführt. Typischerweise werden die positiven Ionen durch eine Radiofrequenz(RF)-Vorspannelektrodenarmierung zu dem Substrat hin beschleunigt, wodurch eine Richtung für das Bilden von vertikalen Kontaktlochprofilen vorgegeben wird, und das das Substrat tragende Spannfutter wirkt als Bodenelektrode und kann durch eine zweite RF-Stromquelle vorgespannt werden. Die Ionen reagieren mit dem Substrat, wodurch das exponierte Material von der Halbleitervorrichtung entfernt wird.
  • Im Allgemeinen verwenden Standardverfahren zum Ätzen durch Siliciumdioxid (SiO2) zu dem darunter liegenden Silicium und/oder Siliciumnitrid Fluorkohlenstoffgasplasma. Die Dissoziation der Fluorkohlenstoffmoleküle durch die Wirkung des Plasmas erzeugt aktive Radikale und/oder Ionen, die auf das SiO2-Substrat einwirken. Beispielsweise sind in einigen hochdichten Plasmen CF+, CF2 + und CF3 +-Ionen, die aus CF3 erzeugt werden, und andere CxFy-Radikale (wobei x bis zu 11 ist und y bis zu 15 ist) dominante Ätzionen für SiO2, wobei Ar+-Ionen CFx-Filme auf Oxid sputtern, und weniger fluorierte Radikale (wie CF2 und CF) werden an den Seitenflächen und Bodenflächen in dem Kontaktloch des SiO2 während des Ätzverfahrens adsorbiert und polymerisieren, wobei eine nicht flüchtige Fluorpolymerschicht gebildet wird, die ein Ätzen durch die Ionen verhindert. Eine genaue Kontrolle der Ausgeglichenheit zwischen den adsorbierenden Radikalen und den Ätzionen während des SiO2-Kontaktplasmaätzens ist wichtig, um die Seitenwände der Öffnung gleichzeitig zu passivieren und die Ätzfront am Boden der Öffnung auszuweiten. Eine solche Kontrolle ist jedoch schwierig, wenn herkömmliche Fluorkohlenstoffchemie eingesetzt wird.
  • Während des HARC-Ätzens treten oft Probleme auf, einschließlich des Beugens bzw. Bogenbildung und/oder Verdrehens der Öffnungen. Die 1 und 2 veranschaulichen ein Substratfragment (beispielsweise Wafer), der allgemein als 10 bezeichnet wird, und zeigen die Bildung eines Kontaklochs 12 in einer Dielektrikumsschicht 14 zu einer darunter liegenden Substratschicht 16 durch herkömmliche Ätzverfahren. Das in 1 veranschaulichte Beugen wird im Allgemeinen durch eine Reaktion von freiem Fluor, das an den Seitenwänden 18 der Kontaktöffnung 12 durch Ätzen (Pfeile ↓↓↓) akkumuliert und die exponierten Seitenwände lateral angreift und ätzt, wodurch die charakteristische Beugung 20 erzeugt wird. Während typischer HARC-Ätzverfahren kann ein Ladungsaufbau entlang der Seitenwände 18 einer engen und tiefen Öffnung hereinkommende Ionen ablenken, wodurch eine Änderung der Flugbahn dieser Ionen bewirkt wird. Das in 2 veranschaulichte Verdrehen kann durch asymmetrische Polymerabscheidung 22 entlang der Seitenwände 18 während des Ätzens in Verbindung mit einer Aufladung bewirkt werden, wodurch hereinkommende Ätzionen abgelenkt werden und die Flugbahn (Pfeil) der Ionen verändert wird, was von einem perfekt vertikalen Profil zu einem Verdrehen oder Beugen des Kontaktlochs 12 führt, wobei das Loch zu einer oder der anderen Seite hin tendiert. Das Verdrehen des Kontaktlochs kann zu einer fehlerhaften Ausrichtung und zu einem nicht perfekten Kontakt zwischen dem anschließend abgeschiedenen Leitermetall und einer aktiven Fläche 24 führen, beispielsweise in dem darunterliegenden Substrat 16. Abweichungen, wie Beugen und Verdrehen, können auch zu einem nicht vertikalen Kontaktloch und zu einem Kurzschluss des Kontakts zu einem benachbarten Kontakt oder einer anderen Struktur führen.
  • Es wäre nützlich, ein Verfahren und ein Ätzgas für das Ätzen von Öffnungen mit hohem Längen-/Breitenverhältnis in Siliciumoxidschichten bereitzustellen, welche diese und andere Probleme überwinden.
  • US 2003/0045114 A1 offenbart ein Verfahren zur Halbleiterherstellung, bei dem mit einem Plasma Öffnungen in eine Dielektrikumsschicht geätzt werden. Das Ätzgas, aus dem das Plasma gebildet wird, enthält ein Schwefel enthaltendes gas, ein Sauerstoff enthaltendes Gas und ein Fluorkohlenwasserstoff oder Fluorkohlenstoffgas, welches zum Beispiel aus C2F2, C2F4, C3F6, C4F6, C4F8, C6F6 und Kombinationen davon ausgewählt sein kann. US 2005/0181588 A1 offenbart ein Verfahren zum Bilden einer Öffnung in einer Dielektrikumsschicht, wobei Fluokohlenstoffgas verwendet wird, welches zum Beispiel C2F4, C4F6, C4F8 enthalten kann. US 6 613 691 B1 betrifft ein Oxidätzverfahren, bei dem beispielsweise C4F6, C3HF5 und/oder C3HF3 eingesetzt werden. US 6 383 918 B1 betrifft ein Verfahren zum Bilden von Öffnungen in einer Dielektrikumsschicht, bei dem die Öffnungen unter Verwendung eines Gemischs aus C4F8, CF4, CO und Ar plasmageätzt werden. US 6 942 816 B2 offenbart ein gas zum Ätzen von Oxidschichten, welches CF4, C2F6, C2F4, C3F6, C4F6, C4F8 oder C5F8 enthalten kann.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die Ausführungsformen der vorliegenden Erfindung werden nachstehend unter Bezugnahme auf die folgenden beigefügten Zeichnungen beschrieben, die nur zur Veranschaulichung dienen. In den folgenden Ansichten werden die Bezugszeichen in den Zeichnungen durchgehend verwendet, und die selben Bezugszeichen werden in den verschiedenen Ansichten und in der Beschreibung durchgehend verwendet, um dieselben oder ähnliche Teile zu bezeichnen.
  • 1 veranschaulicht ein Verfahren des Standes der Technik, das zu einem Beugen eines Kontaktlochs während des Ätzens führt.
  • 2 veranschaulicht ein Verfahren des Standes der Technik, das zu einem Verdrehen eines Kontaktlochs während des Ätzens führt.
  • 3 veranschaulicht eine Querschnittsansicht eines Teils eines Substrats in einer vorbereitenden Verfahrensstufe gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 4 ist eine Querschnittsansicht des Substrats, das in 3 gezeigt wird, in einer nachfolgenden Verfahrensstufe.
  • EINGEHENDE BESCHREIBUNG DER ERFINDUNG
  • Die folgende Beschreibung unter Bezugnahme auf die Zeichnungen stellt anschauliche Beispiele von Vorrichtungen und Verfahren gemäß den Ausführungsformen der vorliegenden Erfindung bereit.
  • Im Zusammenhang mit der vorliegenden Erfindung bedeuten die Ausdrücke ”Halbleitersubstrat” oder ”Halbleitendes Substrat” oder ”Halbleitendes Waferfragment” oder ”Waferfragment” oder ”Wafer” eine beliebige Konstruktion, die ein Halbleitermaterial aufweist, einschließlich, jedoch nicht darauf beschränkt, halbleitende Materialien, wie einen Halbleiter-Wafer (entweder allein oder in einem Aufbau, der andere Materialien umfasst), und halbleitende Materialschichten (entweder allein oder in einem Aufbau, der andere Materialien umfasst). Der Ausdruck ”Substrat” bezieht sich auf eine beliebige Trägerstruktur, einschließlich, jedoch nicht darauf beschränkt, halbleitende Substrate, Waferfragmente oder vorstehend beschriebene Wafer.
  • Der hier verwendete Ausdruck ”hohes Längen-/Breitenverhältnis” bezieht sich auf das Verhältnis der Tiefe zu der Breite (oder dem Durchmesser) von etwa 15:1 oder höher.
  • Ausführungsformen der vorliegenden Erfindung betreffen Verfahren zum Plasmaätzen von dotierten oder undotierten Dielektrikummaterialien, die in der Verarbeitung von halbleitenden mikroelektronischen Vorrichtungen eingesetzt werden, um Öffnungen herzustellen, die Kontakte oder Behälter mit einem hohem Längen-/Breitenverhältnis (HARCs) aufweisen. Die vorliegende Erfindung stellt Verfahrensparameter für das Ätzen eines Kontaktlochs mit hohem Längen-/Breitenverhältnis bereit, welche das Beugen oder Verdrehen der Kontaktöffnung während des Ätzens verringern, die kritische Dimension (CD) für das gesamte Tiefenprofil des Kontaktlochs aufrechterhalten und ein Kontaktloch mit einem vertikalen Profil herstellen, das im Wesentlichen zylindrisch mit einer minimalen Verjüngung ist. Beispielsweise würde ein Kontakt mit einer Tiefe von etwa 2 μm, einer CD oben von 60 nm und einer CD unten von etwa 45 bis 60 nm als ein Kontakt angesehen werden, der das erforderliche CD-Profil aufweist.
  • Eine Ausführungsform eines erfindungsgemäßen Verfahrens wird unter Bezugnahme auf die 3 und 4 veranschaulicht. 3 zeigt ein Substratfragment, das im Allgemeinen mit dem Bezugszeichen 10' angegeben wird, in einer vorbereitenden Verarbeitungsstufe. Das Substratfragment 10' umfasst eine auf einer Substratschicht 16' gebildete Isolierung oder Dielektrikumsschicht 14' und eine aktive Fläche oder ein Element 24' (beispielsweise eine Diffusionsfläche, einen Kontakt, einen Leiter und dergleichen). Das Substratfragment 10' in Verarbeitung kann ein Halbleiterwafersubstrat oder den Wafer neben verschiedenen darauf befindlichen Verfahrensschichten, einschließlich eine oder mehrere Halbleiterschichten oder andere Gebilde, und aktive Bereiche oder Betriebsbereiche der Halbleitervorrichtungen aufweisen.
  • Die Dielektrikumsschicht 14' ist in einer ausgewählten Dicke ausgebildet, um zu einem Kontaktloch mit definiertem hohen Längen-/Breitenverhältnis geätzt zu werden, beispielsweise in einer Dicke von etwa 2 bis 3 μm. Die Dielektrikumsschicht 14' kann aus nicht dotiertem Siliciumdioxid (SiO2) oder dotiertem SiO2, beispielsweise aus Siliciumoxid, das von Tetraethylorthosilikat (TEOS), Borphosphosilikatglas (BPSG), Borsilikatglas (BSG) und Phosphosilikatglas (PSG) abgeschieden wird, oder aus anderen Materialien, wie Nitriden (beispielsweise Siliciumnitriden (SixNy) wie Si3N4) oder Siliciumoxynitriden (SiOxNy), in einer Einzelschicht oder in mehreren Schichten gebildet sein. Die zugrunde liegende Substratschicht 16' unterscheidet sich in ihrer Zusammensetzung von der Dielektrikumsschicht 14' und kann aus einer Nitrid-Ätzstoppschicht (wie Si3N4), einem hochschmelzenden Metallnitrid, wie Titannitrid, Wolframnitrid, und dergleichen, einer Siliciumdioxidschicht mit einer zu der Siliciumdioxid-Dielektrikumsschicht 14' unterschiedlichen Dotierung, einer Siliciumschicht, wie monokristallinem Silicium und Polysilicium, einem dotierten Siliciumbereich, einem Metallsilicid, wie Titansilicid, einem Metallleiter oder anderen Materialschichten bestehen. In dem veranschaulichten Beispiel ist das Substrat 16' SiO2 mit einer Kontaktfläche 24' (beispielsweise Polysilicium).
  • Eine Ätzresistmaskierungsschicht 28', beispielsweise ein Fotoresist oder ein hartes Maskierungsmaterial, ist über der Dielektrikumsschicht 14' gebildet und, wie gezeigt, gemustert und geätzt, um die Öffnungen 30' zu definieren, welche Bereiche der Dielektrikumsschicht exponieren.
  • 4 zeigt, dass die Dielektrikumsschicht 14' geatzt wird, um eine Kontaktöffnung 12' mit hohem Längen-/Breitenverhältnis zu bilden (d. h., Kontaktloch und Durchkontaktierung oder eine andere Öffnung, wie einen Graben), die sich zu der zugrunde liegenden Substratschicht 16' erstreckt, wobei beispielsweise ein Kontaktloch für ein Verbindungsniveau, eine Gate-Elektrode, eine Kondensatorelektrode, eine Durchkontaktierung und dergleichen bei der Herstellung verschiedener Vorrichtungen oder Schaltkreise, wie SRAMs, DRAMs und dergleichen, gebildet wird. Typischerweise wird beispielsweise die Kontaktöffnung 12' in einem hohen Längen-/Breitenverhältnis von etwa 1:15 bis etwa 1:40 oder etwa 1:20 bis etwa 1:30 mit einer kritischen Dimension (CD) (Breite) von weniger als etwa 100 nm oder etwa 25 bis 70 nm und einer Tiefe (d) von etwa 1 bis 3 μm geätzt.
  • Gemäß Anspruch 1 der vorliegenden Erfindung wird die Dielektrikumsschicht 14' geätzt, um ein Kontaktloch 12' zu bilden unter Verwendung eines HARC-Gasplasmas (Pfeile ↓↓↓), erzeugt gemäß der vorliegenden Erfindung unter Einsatz von C4F8 (Octafluorcyclobutan), C4F6 (Hexafluorbutadien) oder eines Gemisches davon, einer Sauerstoffquelle und eines Inertgases in Kombination mit C2F4 (Tetrafluorethan), wobei das Verhältnis von C4F6, C4F8 oder dem Gemisch von C4F6 und C4F8 zu dem C2F4 im Bereich von 0,25:1 bis 1,5:1 liegt. Die C4F8-, C4F6- und C2F4-Gase erzeugen CF2-Radikale als Gasvorläufer für die Polymerabscheidung und CF3 +-Ionen zum Ätzen der Siliciumoxidschicht. Gemäß Anspruch 10 besteht das Ätzgas, aus welchem das Plasma gebildet wird, aus C4F8 und/oder C4F6, einer oder mehreren Sauerstoffquellen, einem oder mehreren Inertgasen und C2F4.
  • Die Sauerstoffquelle kann beispielsweise Sauerstoff (O2), Kohlenmonoxid (CO) oder Gemische davon in Mengen, welche die Leistungsfähigkeit der Ätzgase nicht beeinträchtigen, sein. Die Sauerstoffquelle reagiert mit dem Kohlenstoff und den Fluor enthaltenden Ionen (CFx) innerhalb des Plasmas, um die Menge des Kohlenstoffs und des Fluors, die an die Seitenwände 18' anhaften, einzustellen und den ”Ätzstopp” zu unterdrücken, der sich durch Kohlenstoffabscheidungen auf der Bodenoberflächen 26' des Kontaktlochs 12' ergeben kann.
  • Das Ätzgas enthält ein inertes Trägergas, das dem Fachmann bekannt ist, wie Argon (Ar), Xenon (Xe), Neon (Ne), Krypton (Kr) und Helium (He). Trägergase sind in dem Ätzmittel vorhanden, um die Ätzgase zu verdünnen, so dass ein übermäßiges Ätzen oder ein Abscheiden nicht auftritt, um das erzeugte Plasma zu stabilisieren und um die Gleichförmigkeit des Ätzprozesses zu erhöhen. In einigen Ausführungsformen werden Xenon (Xe), Neon (Ne) und/oder Krypton (Kr) als Trägergas anstelle oder in Kombination mit Argon (Ar) eingesetzt.
  • In Ausführungsformen der vorliegenden Erfindung ist das Fluorkohlenstoffeinspeisungsgas zusammengesetztes C4F8/O2/Ar/C2F4, C4F6/O2/Ar/C2F4 oder C4F8/C4F6/O2/Ar/C2F4. In anderen Ausführungsformen ist O2 kombiniert mit oder ersetzt durch CO, und/oder Ar ist ersetzt durch oder kombiniert mit Xe, Ne, Kr und/oder He. Gemäß Anspruch 1 kann das Einspeisungsgas die vorstehend genannten Gase enthalten, gemäß Anspruch 10 besteht es aus diesen.
  • Das Ätzgas kann gegebenenfalls ein oder mehrere zusätzliche Fluorkohlenstoffgase der allgemeinen Formel CxFy, worin x = 1 – 6 und y = 2 – 8, beispielsweise CF4 (Tetrachlorkohlenstoff), C2F6 (Hexafluorethan), C3F6 (Hexafluorpropylen), C3F8 (Octafluorpropan), C5F8 (Octafluorcyclopenten) und C6F6 (Perfluorbenzol), und Kombinationen davon enthalten.
  • Es wurde gefunden, dass die Zugabe von C2F4 während eines HARC-Ätzens unter Einsatz von C4F8 oder C4F6 mit einer Sauerstoffquelle und einem Inertgas zu einer Abscheidung einer dünnen und gleichförmigen Polymerschicht 22' führt, wobei die Seitenwände 18' der Kontaktöffnung 12' während des Ätzens passiviert werden, so dass sie gegen laterales Ätzen geschützt sind und ein Verdrehen und Beugen des Kontaktlochs minimiert wird, insbesondere während eines Überätzens zum Entfernen des verbleibenden Oxids über dem Substrat 16', ohne dass das zugegebene Polymerisierungsgas (d. h. C2F4) kompensiert werden muss.
  • Die Polymerabscheidung auf CFx-Basis, die sich auf der Zugabe von C2F4 zu einem C4F8- und/oder C4F6-Ätzgas ergibt, stellt eine verbesserte Passivierungsschicht bereit, die gleichförmig und symmetrisch ist und die sich während des Ätzens des Kontaktlochs über die Seitenwände abscheidet. Die gleichförmige Polymerschicht verhindert ein durch Ionen bewirktes laterales Ätzen oder ”Beugen” in lokalen Flächen der Seitenwand, wobei die kritische Dimension (CD) über das gesamte Tiefenprofil des Kontaktlochs aufrechterhalten bleibt und die Behälterverformung verringert wird. Die Abscheidung der gleichförmigen Polymerschicht auf den Seitenwänden des Kontaktlochs kann auch als schwacher Leitungsweg dienen, um Ladung am Boden des Kontaklochs abzuleiten und ein Verdrehen zu verringern. Eine symmetrische Polymerabscheidung verringert auch die Wahrscheinlichkeit der Bildung eines lateralen elektrischen Feldes, was wiederum ein Verdrehen verringert. Unregelmäßigkeiten in den plasmaabgeschiedenen Polymermaterialien übertragen sich bekanntermaßen auf das zu ätzende Substrat. Die sehr regelmäßige und gleichförmige Schicht aus Polymermaterial, die durch die vorliegende C4F8/C4F6/C2F4-basierte HARC-Chemie erzeugt wird, verringert Verformungen und Fehlstellen in dem Kontaktloch, während die gleichzeitige Ionenbombardierung die Absorption oder die Abscheidung von Polymer auf der Ätzfront am Boden des Kontaktlochs unterdrückt, so dass das Ätzen erleichtert wird, ohne dass eine Verjüngung bewirkt wird.
  • Es wurde ein Strukturieren von SiO2 unter Einsatz eines Gasgemisches aus Trifluoriodmethan (CF3I) und Tetrafluorethan (C2F4) beschrieben. CF3I ist jedoch kein bevorzugtes Ätzmittel, weil es ein hochkorrosives Gas ist und bei der Erzeugung des gewünschten Kontaktlochprofils nicht gut geeignet ist. Die vorliegenden Ätzmittel überwinden diese Nachteile und erzielen ein dynamisches Gleichgewicht, so dass das Polymer etwa mit der gleichen Rate abgeschieden und entfernt wird, wodurch ein Ätzstopp verhindert wird und eine kontinuierliche Passivierung bewerkstelligt wird, wodurch die Seitenwände nicht exponiert werden, was zu einer Verhinderung des Beugens führt. Die Ätzgase können auch kontrolliert werden, sodass die Abscheidung von überschüssigem Polymerrest, der ein Verdrehen des Kontaktlochs bewirken kann (beispielsweise wie in 2 gezeigt), verhindert wird und die Anhäufung von plasmaabgeschiedenen Polymerresten auf der Bodenoberfläche 24' der Öffnung 12' begrenzt wird.
  • In erfindungsgemäßen Ausführungsformen kann das Ätzgasplasma ein Gemisch von Gasen für ein Ätzen von Siliciumoxid mit hohem Längen-/Breitenverhältnis bei einer deutlich hoheren Rate als von einer Nitrid- und/oder Siliciumsubstratschicht 16' sein, d. h., das Ätzgasplasma ist hochselektiv gegenüber Nitriden und Silicium. Ein solches Ätzgasplasma erlaubt das Ätzen einer Oxidschicht 14' bis zu einer Substratschicht 16' ohne gleichzeitige Beschädigung der Nitrid- oder Siliciumschichten.
  • In anderen Ausführungsformen kann das Ätzgas einen Kohlenwasserstoff enthalten, wie CH4 (Methan) und/oder ein oder mehrere Fluorkohlenwasserstoff-Einspeisungsgase der allgemeinen Formel CxHyFz (wobei x = 1 – 6, y = 1 – 6 und z = 1 – 6, wie CHF3 (Trifluormethan), CH2F2 (Difluormethan), CH2F (Tetrafluorethan), CH3F (Methylfluorid), C2HF5 (Pentafluorethan), C2H2F4 (Tetrafluorethan), C2H5F5 (Ethylfluorid), C2HF5 (Pentafluorpropen), C3HF7 (Heptafluorpropan), C3H2F6 (Hexalfuorpropan), C3H3F3 (Trifluorpropen), C3H3F3 (Pentafluorpropan), C3H4F4 (Tetrafluorpropan), C4HF7 (Heptafluorbuten), C4H2F6 (Hexafluorbuten), C4H2F8 (Octafluorbutan), C5HF9 (Nonafluorpenten), C5HF11 (Undecafluorpentan), oder ein Hydrofluorbenzen (beispielsweise C6H3F3) und andere. Das Verwenden eines Kohlenwasserstoffs (wie CH4) und/oder eines Fluorkohlenwasserstoff-Einspeisungsgases ist beim Ätzen von anderen Dielektrika als SiO2, einschließlich beispielsweise Siliciumnitrid (wie SixNy, wie Si3N4), nützlich.
  • Der Vorteil der Verwendung von C2F4 ist, dass das abgeschiedene Polymer ein Polymer auf CF-Basis ist, das im Vergleich zu Si enthaltenden Polymeren, die durch Verfahren unter Verwendung eines Ätzgases, wie SiH4, SiF4 oder SiCl4 gebildet werden, leicht abgezogen/abgewaschen werden kann. Das CF-basierte Polymer, das aus C2F4 erzeugt wird, ist sehr gleichförmig, wodurch ermöglicht wird, dass das C2F4-Gas zugegeben werden kann, ohne dass die Menge von O2 in dem C4F8/C4F6-Ätzgas verändert werden muss, um die Zugabe des Polymerisierungsgases (C2F4) auszugleichen. Zudem führt die Verwendung von C2F4 nicht zu einer übermäßigen Abscheidung, welche zu einem Verstopfen der Kontaktöffnung führen kann.
  • Obwohl Ausführungsformen des mit C2F4-Gas gebildeten Ätzplasmagases die gewünschte gleichförmige Polymerabscheidung während des Ätzens bereitstellen, ist C2F4-Gas leicht entflammbar und instabil, wenn es gereinigt wird, und es kann in Gasleitungen und während des Lagerns spontan polymerisieren, wenn es mit Spurenmengen von O2 kontaktiert wird. C2F4 kann stabilisiert werden, die Stabilisierungsmittel, wie d-Limonen (C10H16), Kohlendioxid (CO2), oder Chlorwasserstoffsäure (HCl), können jedoch das Ätzverfahren nachteilig beeinflussen. Zudem kann der Aufwand beim Einsatz von C2F4 ein Hindernis sein.
  • Gemäß Anspruch 11 der vorliegenden Erfindung wird eine Kontaktöffnung in einer Dielektrikumsschicht unter Verwendung eines Ätzgases, das aus C4F8 und/oder C4F6, einer Sauerstoffquelle (wie O2 und/oder CO), einem oder mehreren Inertgasen und einem Halogenfluorkohlenstoff, der C2F4 erzeugt, zusammengesetzt ist, geätzt, wobei dieselbe oder eine ähnliche Polymerabscheidung während eines Trockenätzens von Oxid bereitgestellt wird wie bei reinem C2F4, das in das C4F6-C4F8-Ätzplasma gespeist wird.
  • Der Halogenfluorkohlenstoff, der in dem Ätzgas verwendet wird, ist mindestens eine unter 1,2-Dibromtetrafluorethan (BrCF2CF2Br oder C2F4Br2), 1,2-Diiodtetrafluorethan (ICF2, CF2I oder C2F4I2) und Diioddifluormethan (CF2I2) ausgewählte Verbindung. Die vorstehend genannten Halogenfluorkohlenstoffe sind stabile flüssige Quellen, die in einem Plasma in CF2-Radikale dissoziieren. C2F4Br und C2F4I2 dissoziieren, wenn sie UV-Licht (beispielsweise 266 bis 193 nm) ausgesetzt werden, wobei C2F4 gebildet wird, das in einem Plasma 2 Einheiten von CF2-Radikalen bildet.
  • Die Verwendung von C2F4 oder der vorstehend genannten Halogenfluorkohlenstoffe in einem C4F6- oder C4F8-Ätzgasplasma ermöglicht eine genauere Kontrolle der CF2-Radikalerzeugung in dem Plasmagas, was zur Bildung einer regelmäßigen und gleichförmigen Polymerschicht auf den Seitenwänden der Kontaktöffnung wahrend des Ätzens führt, wodurch ein Beugen und Verdrehen minimiert wird und die Profilkontrolle des Kontaktlochs verbessert wird. Die vorstehend genannten Halogenfluorkohlenstoffe funktionieren als Analoga zu C2F4 und stellen eine Verfahrenswirkung und Polymerabscheidung während eines Trockenätzens bereit, die ähnlich sind zu reinem C2F4, das in ein C4F6- und/oder C4F8-Ätzplasma eingespeist wird, wobei jedoch die Stabilität höher ist und die bei reinem C2F4, welches leicht entflammbar ist und bei Kontakt mit Sauerstoff unter Explosion polymerisieren kann, auftretenden Probleme vermieden werden.
  • Das Plasma kann unter Verwendung einer beliebigen geeigneten Ätzvorrichtung, beispielsweise einer Ätzvorrichtung von Applied Centura Enabler® Etch system von Applied Materials, Inc., dem 2300 Exelan system von Lam Research Corporation, einer TEL Unity SCCM Dielectric Etch Chamber von Tokyo Electron Limited oder einer beliebigen anderen Ätzvorrichtung mit hochdichtem Plasma erzeugt werden. Beispiele der Ausstattungen und Techniken für das Plasmaätzen sind in dem US Patent Nr. 6,123,862 (Donohoe et al.), das allgemein zugänglich ist. Dem Fachmann ist klar, dass in Abhängigkeit von dem speziellen Ätzapparat, der zur Erzeugung des Plasmas eingesetzt wird, verschiedene Ätzparameter, wie Gasgemisch, Temperatur, RF-Stärke, Druck und Gasflussrate und andere variiert werden können, um die gewünschte Ätzrate und Ätzeigenschaft des Plasmasystems zu erzielen.
  • Ein Substrat 10' (beispielweise ein Wafer) mit einer Dielektrikumsschicht 14', die geätzt werden soll, wird in eine Plasmareaktionskammer einer geeigneten Vorrichtung zum Durchführen des Ätzverfahrens gegeben, und die Ätzgase werden in die Plasmareaktionskammer eingeleitet, und Strom wird angelegt, um aus den Ätzgasen ein Plasma zu induzieren. Im Allgemeinen wird ein Plasma über der Oberfläche des Wafers gebildet, und eine Vorspannung wird an das Substrat, das den Wafer enthält, oder an einen Träger oder ein Spannfutter, welches das Substrat trägt, angelegt, um Ionen von den Reaktantengasen zu der Oberfläche hin zu beschleunigen. Substanzen, die aus den Ätzgasen gebildet werden (wie Fluorionen), stoßen mit den Flächen der Dielektrikumsschicht 14', die durch die strukturierte Maskierung 28' exponiert ist, zusammen und reagieren mit diesen, wobei ein Fortschreiten der Ätzfront bewirkt wird. Nebenprodukte, die flüchtig sein können, können aus der Reaktionskammer durch einen Auslass abgeführt werden.
  • Das Ätzverfahren wird unter Bedingungen durchgeführt, die gleichzeitig ein ausgewogenes Ätzverfahren bereitstellen, d. h. Plasma, welches reaktive neutrale und ionische Substanzen erzeugt, welche Dielektrika ätzen, wenn die Ionen (CF3 +) ausreichend Energie aufweisen, die von der Vorspannquelle am Wafer bereitgestellt wird, und das Polymermaterial (durch CF2-Radikale) an den Seitenwänden 18' der Kontaktöffnung oder des Lochs 12' abscheiden. Die Bodenoberfläche 26' wird während eines Überätzens mit Ionen bombardiert, um verbleibendes restliches Oxid über dem Substrat 16' zu entfernen.
  • Der Gasfluss von C4F8, C4F6 und C2F4 wird jeweils kontrolliert, um die Dichten der CF2-Radikale und der CF3 +-Ionen zu optimieren. In den Ausführungsformen der vorliegenden Erfindung mit einem C4F8/C2F4- oder C4F6/C2F4-Ätzgas sind die Gasflussraten in die Plasmakammer etwa 10–100 sccm (Standardkubikzentimeter pro Minute) für C4F8 oder C4F6 (oder etwa 50–70 sccm), etwa 50–150 sccm für C2F4 (oder etwa 80–100 sccm), etwa 10–60 sccm für O2 (oder etwa 20–40 sccm), und etwa 500–1500 sccm für eines oder mehrere Inertgase (oder etwa 900–1300 sccm). Im Allgemeinen ist das Verhältnis C4F8:C2F4 etwa 0.25–1.5:1 (oder etwa 0.5–1:1) und das Verhältnis von C4F6:C2F4 ist etwa 0.25–1.5:1 (oder etwa 0.5–1:1).
  • In Ausführungsformen mit einem C4F8/C4F6/C2F4-Ätzgas sind die Gasflussraten typischerweise etwa 0–100 sccm für C4F8 (oder etwa 20–70 sccm), etwa 0–100 sccm für C4F6 (oder etwa 20–70 sccm), etwa 50–150 sccm für C2F4 (oder etwa 80–100 sccm), etwa 10–60 sccm für O2 (oder etwa 20–40 sccm), und etwa 500–1500 sccm für eines oder mehrere Inertgase (oder etwa 900–1300 sccm), wobei die kombinierte Gesamtflussrate für C4F6 und C4F8 in einem Bereich von etwa 30–100 sccm liegt (oder etwa 50–70 sccm). Im Allgemeinen ist das Verhältnis C4F8 und C4F6 (kombiniert) zu C2F4 etwa 0.25–1.5:1 (oder etwa 0.5–1:1).
  • In Ausführungsformen der vorliegenden Erfindung, in denen Halogenfluorkohlenstoffe anstelle von C2F4 eingesetzt werden, sind die Ätzgasflussraten in die Plasmakammer etwa 10–100 sccm für C4F8 oder C4F6 (oder etwa 50–70 sccm), etwa 50–150 sccm für C2F4Br2 oder C2F4I2 (oder etwa 80–100 sccm), etwa 100–300 sccm für CF2I2 (oder etwa 160–180 sccm), etwa 10–60 sccm für O2 (oder etwa 20–40 sccm), und etwa 500–1500 sccm für eines oder mehrere Inertgase (oder etwa 900–1300 sccm). Das Verhältnis von C4F8 oder C4F6:C2F4Br2 oder C2F4I2 ist etwa 0.25–1.5:1 (oder etwa 0.5 bis 1:1), und das Verhältnis von C4F8 oder C4F6 zu CF2I2 ist etwa 0.25–1.5:1 (oder etwa 0.5–1:1).
  • In anderen Ausführungsformen sind die Ätzgasflussraten in die Plasmakammer etwa 0–100 sccm für C4F8 (oder etwa 20–70 sccm) und etwa 0–100 sccm für C4F6 (oder etwa 20–70 sccm) mit einer kombinierten Gesamtflussrate für C4F6 und C4F8 von etwa 30–100 sccm (oder etwa 50–70 sccm), etwa 50–150 sccm für C2F4Br2 oder C2F4I2 (oder etwa 80–100 sccm), etwa 50–150 sccm für CF2I2 (oder etwa 80–100 sccm), etwa 10–60 sccm für O2 (oder etwa 20–40 sccm), und etwa 500–1500 sccm für eines oder mehrere Inertgase (oder etwa 900–1300 sccm). Das Verhältnis von C4F8 und C5F6:C2F4Br2 oder C2F4I2 ist etwa 0.25–1.5:1 (oder etwa 0.5 bis 1:1), und das Verhältnis von C4F8 und C4F6:CF2I2 ist etwa 0.25–1.5:1 (oder etwa 0.5–1:1).
  • Das Ätzgas kann gegebenenfalls 1 oder mehrere zusätzliche Fluorkohlenstoffgase (wie CF4, C2F6 und dergleichen) mit Gasflussraten bis zu etwa 50 sccm (oder etwa 10–50 sccm) enthalten.
  • Gegebenenfalls kann das Ätzgas auch einen Kohlenwasserstoff, wie CH4, enthalten. Typische Flussraten für CH4 sind bis zu etwa 5 sccm (oder bis zu etwa 20 sccm), und für Fluorkohlenwasserstoffe bis zu etwa 5 sccm (oder bis zu etwa 20 sccm).
  • Die Flussraten der verschiedenen Konstitutionsgase der Ätzgase kann bei Bedarf eingestellt werden, um ein geeignetes Ätzen gemäß den Verfahren der vorliegenden Erfindung bereitzustellen, und die Größe der Ätzkammer und des zu ätzenden Wafers anzupassen. Das Stromniveau des in Verbindung mit der Ätzkammer stehenden Plasmas und der Druck in der Ätzkammer sollten ausreichend sein, um das Ätzverfahren aufrechtzuerhalten. Das Stromniveau der Quelle ist typischerweise im Bereich von etwa 1000–3000 Watt, die Vorspannung ist im Bereich von etwa 2000–7000 Watt, und der Druck ist im Allgemeinen im Bereich von 1,33–4 Pa (10–30 mTorr).
  • Die vorliegende Erfindung bildet Kontaktlöcher mit einem hohen Längen-/Breitenverhältnis, ohne dass ein Biegen oder Verdrehen der Löcher bewirkt wird, wobei die Löcher einen Durchmesser (CD) aufweisen, der über die gesamte Tiefe des Loches im Wesentlichen gleich ist.
  • Nachdem das Ätzen des Kontaktlochs 12' vollständig durchgeführt wurde, können die Maskierungsschicht 28' und die Polymerschicht 22' dann entfernt (abgezogen) werden, beispielsweise durch Trockenätzen mit einem Sauerstoffplasma-Veraschungsschritt, oder durch ein Nassätzen mit einem Piranha-Reinigungsmittel (H2SO4/H2O2).
  • Das Substrat 10' kann Nachätzungsverarbeitungsstufen unterworfen werden, die im Stand der Technik bekannt sind, um gewünschte Komponenten herzustellen. Beispielsweise können die erhaltenen Kontaktlöcher 12' weiterverarbeitet werden, beispielsweise durch Befüllen mit einem Metall oder einem Leitermaterial, wie Kupfer, Aluminium, Silicium, Ti3N4, um Kontakte oder Leiter zu bilden, beispielsweise zu einer darunter liegenden aktiven Fläche, einem Kontakt oder einem Leiter, oder mit einer Metall-Isolierung-Metall-Schicht zur Ausbildung von Kondensatoren mit einem dielektrischen Material, wie Al2O3, HfO2, ZrO2, SrTiO3 und dergleichen. Bei der Herstellung von integrierten Schaltkreisen, wie Memory-Vorichtungen. Fertig hergestellte Halbleiter-Wafer können in Würfel geschnitten werden, die dann in integrierte Schaltungschips weiterverarbeitet werden können und in elektronische Vorrichtungen eingebaut werden können.

Claims (16)

  1. Verfahren zum Ätzen einer Öffnung in eine Dielektrikumsschicht, welches umfasst: Einleiten von Gasen in eine Kammer, wobei die Gase ein Sauerstoffquellengas, ein Inertgas, C2F4, sowie C4F6, C4F8 oder ein Gemisch von C4F6 und C4F8 umfassen, wobei C4F6:C2F4, C4F8:C2F4, oder C4F8 und C4F6:C2F4 in einem Verhältnis von etwa 0,25:1 bis 1,5:1 vorliegen, das Bilden eines Plasmas aus den Gasen in der Kammer; und das Ätzen der Öffnung durch die Dielektrikumsschicht zu einem darunter liegenden Substrat mit dem, wobei während des Ätzens eine gleichförmige Polymerschicht entlang der Seitenwände der Öffnung gebildet und aufrechterhalten wird, und wobei das Sauerstoffquellengas mit Kohlenstoff und Fluor enthaltenden Ionen in dem Plasma reagiert, um die Abscheidung von Polymer am Boden der Öffnung während des Ätzens zu unterdrücken.
  2. Verfahren nach Anspruch 1, wobei die Dielektrikumsschicht ein Oxid enthält.
  3. Verfahren nach Anspruch 1, wobei die Dielektrikumsschicht Siliciumnitrid enthält und die Gase außerdem einen Fluorkohlenwasserstoff der allgemeinen Formel CxHyFz, wobei x = 1 – 6, y = 1 – 6 und z = 1 – 6, oder eine Kombination davon enthalten.
  4. Verfahren nach Anspruch 1, wobei die Öffnung ein Kontaktloch oder ein Graben mit einem Längen-/Breitenverhältnis von etwa 20:1 bis 30:1 ist.
  5. Verfahren nach Anspruch 1, wobei die gleichförmige Polymerschicht an den Seitenwänden der Öffnung eine Dicke von etwa 0,5 bis 2 nm (5–20 Å) für ein Tiefenprofil der Öffnung aufweist.
  6. Verfahren nach Anspruch 1, wobei das Ätzen der Öffnung durch die Dielektrikumsschicht zu dem darunter liegenden Substrat mit dem Plasma das Ätzen der Dielektrikumsschicht bei einer Ätzrate von etwa 300 bis 600 nm/Min (3000–6000 Å/Min) umfasst.
  7. Verfahren nach Anspruch 1, wobei die Gase beim Einleiten der Gase in eine Kammer im Wesentlichen aus C4F6, C4F8 oder einem Gemisch von C4F6 und C4F8, dem Sauerstoffquellengas, dem Inertgas und C2F4 bestehen.
  8. Verfahren nach Anspruch 1, wobei das Einleiten der Gase in eine Kammer das Strömen der Gase bei einer Fließgeschwindigkeit von etwa 10–100 sccm für C4F6 oder C4F8 und bei etwa 50–150 sccm für C2F4 in die Kammer umfasst.
  9. Verfahren nach Anspruch 1, wobei das Einleiten der Gase in eine Kammer das Strömen von C4F6 und C4F8 in die Kammer bei einer kombinierten Fließgeschwindigkeit von etwa 30–100 sccm und von C2F4 in die Kammer bei einer Fließgeschwindigkeit von etwa 50–150 sccm umfasst.
  10. Verfahren zum Ätzen einer Öffnung in eine Dielektrikumsschicht, welches umfasst: das Einleiten eines Zufuhrgases, welches aus C4F6, C4F8 oder einem Gemisch davon, einem Sauerstoffquellengas, einem inerten Verdünnungsgas und C2F4 besteht, in eine Kammer, wobei die Fließgeschwindigkeit des C4F6, C4F8 oder des Gemischs davon etwa 50–70 sccm beträgt, die Fließgeschwindigkeit des Sauerstoffquellengases etwa 20–40 sccm beträgt, die Fließgeschwindigkeit des inerten Verdünnungsgases etwa 900–1300 sccm beträgt und die Fließgeschwindigkeit des C2F4 etwa 80–100 sccm beträgt; Bilden eines Plasmas aus dem Zufuhrgas in der Kammer; und Ätzen der Öffnung durch die Dielektrikumsschicht zu einem darunter liegenden Substrat mit dem Plasm, wobei eine gleichförmige Polymerschicht entlang der Seitenwände der Öffnung während des Ätzens gebildet und aufrechterhalten wird.
  11. Verfahren zum Ätzen einer Öffnung in eine Dielektrikumsschicht, welches umfasst: das Einleiten von C4F6, C4F8 oder eines Gemischs davon, eines Sauerstoffquellengases, eines inerten Verdünnungsgases und eines Halogenfluorkohlenstoffs, ausgewählt aus der aus C2F4Br2, C2F4I2 und CF2I2 bestehenden Gruppe, um ein Ätzgas zu bilden; Bilden eines Plasmas aus dem Ätzgas in der Kammer; und Ätzen der Öffnung durch die Dielektrikumsschicht bis zu einem darunter liegenden Substrat mit dem Plasma; wobei während des Ätzens eine gleichförmige Polymerschicht entlang der Seitenwände der Öffnung gebildet und aufrechterhalten wird.
  12. Verfahren nach Anspruch 11, wobei das Einströmen des C4F6, C4F8 oder eines Gemischs davon bei einer Fließgeschwindigkeit von etwa 10–100 sccm und des Halogenfluorkohlenstoffs bei einer Geschwindigkeit von etwa 50–300 sccm erfolgt.
  13. Verfahren nach Anspruch 12, umfassend das Einströmen des C2F4Br2 oder C2F4I2 bei einer Geschwindigkeit von etwa 50–150 sccm, oder des CF2I2 bei einer Geschwindigkeit von etwa 100–300 sccm.
  14. Verfahren nach Anspruch 11, wobei das Einleiten des C4F6, C4F8 oder eines Gemischs davon, des Sauerstoffquellengases, des inerten Verdünnungsgases und des Halogenfluorkohlenstoffs das Einströmen von C4F6:Halogenfluorkohlenstoff, C4F8:Halogenfluorkohlenstoff oder C4F8 und C4F6:Halogenkohlenstoff in einem Verhältnis von etwa 0,25:1 bis 1,5:1 umfasst.
  15. Verfahren nach Anspruch 11, wobei das Ätzgas außerdem ein zusätzliches Fluorkohlenstoffgas der allgemeinen Formel CxFy enthält, wobei x = 1 – 6 und y = 2 – 8.
  16. Verfahren nach Anspruch 11, wobei die Dielektrikumsschicht Siliciumnitrid enthält und das Ätzgas außerdem ein Fluorkohlenwasserstoffgas der allgemeinen Formel CxHyFz, wobei x = 1 – 6, y = 1 – 6 und z = 1 – 6, oder ein Gemisch davon enthält.
DE112008003598.9T 2008-01-04 2008-12-16 Verfahren zum Ätzen einer Öffnung mit hohem Längen-/Breitenverhältnis Active DE112008003598B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/969,443 2008-01-04
US11/969,443 US8614151B2 (en) 2008-01-04 2008-01-04 Method of etching a high aspect ratio contact
PCT/US2008/086921 WO2009088660A1 (en) 2008-01-04 2008-12-16 Method of etching a high aspect ratio contact

Publications (2)

Publication Number Publication Date
DE112008003598T5 DE112008003598T5 (de) 2010-12-16
DE112008003598B4 true DE112008003598B4 (de) 2016-09-01

Family

ID=40342418

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112008003598.9T Active DE112008003598B4 (de) 2008-01-04 2008-12-16 Verfahren zum Ätzen einer Öffnung mit hohem Längen-/Breitenverhältnis

Country Status (7)

Country Link
US (2) US8614151B2 (de)
KR (1) KR101158205B1 (de)
CN (1) CN101911263B (de)
DE (1) DE112008003598B4 (de)
GB (1) GB2468458B (de)
TW (1) TWI402908B (de)
WO (1) WO2009088660A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090103049A (ko) * 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2010272758A (ja) * 2009-05-22 2010-12-02 Hitachi High-Technologies Corp 被エッチング材のプラズマエッチング方法
KR101096249B1 (ko) * 2009-05-29 2011-12-22 주식회사 하이닉스반도체 마스크 및 제조 방법
WO2011031858A1 (en) * 2009-09-10 2011-03-17 Matheson Tri-Gas, Inc. High aspect ratio silicon oxide etch
JP5373669B2 (ja) * 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
JP5839689B2 (ja) * 2011-02-28 2016-01-06 東京エレクトロン株式会社 プラズマエッチング方法及び半導体装置の製造方法並びにコンピュータ記憶媒体
US20130344702A1 (en) * 2011-03-04 2013-12-26 Tokyo Electron Limited Method of etching silicon nitride films
US8703581B2 (en) 2011-06-15 2014-04-22 Applied Materials, Inc. Water soluble mask for substrate dicing by laser and plasma etch
US8557683B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8598016B2 (en) * 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
US9029242B2 (en) 2011-06-15 2015-05-12 Applied Materials, Inc. Damage isolation by shaped beam delivery in laser scribing process
US8759197B2 (en) 2011-06-15 2014-06-24 Applied Materials, Inc. Multi-step and asymmetrically shaped laser beam scribing
US8557682B2 (en) 2011-06-15 2013-10-15 Applied Materials, Inc. Multi-layer mask for substrate dicing by laser and plasma etch
US8765613B2 (en) 2011-10-26 2014-07-01 International Business Machines Corporation High selectivity nitride etch process
US9190316B2 (en) * 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US20130122707A1 (en) * 2011-11-14 2013-05-16 Daisuke Shimizu Methods of polymers deposition for forming reduced critical dimensions
CN103871868A (zh) * 2012-12-11 2014-06-18 北大方正集团有限公司 一种直孔刻蚀方法
US9533873B2 (en) 2013-02-05 2017-01-03 Butterfly Network, Inc. CMOS ultrasonic transducers and related apparatus and methods
CA2905040C (en) 2013-03-15 2021-10-19 Butterfly Network, Inc. Complementary metal oxide semiconductor (cmos) ultrasonic transducers and methods for forming the same
US9165785B2 (en) * 2013-03-29 2015-10-20 Tokyo Electron Limited Reducing bowing bias in etching an oxide layer
KR101772309B1 (ko) * 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US9287124B2 (en) * 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
US9748366B2 (en) * 2013-10-03 2017-08-29 Applied Materials, Inc. Etching oxide-nitride stacks using C4F6H2
WO2015103003A1 (en) * 2013-12-30 2015-07-09 E. I. Du Pont De Nemours And Company Chamber cleaning and semiconductor etching gases
CA2946133A1 (en) 2014-04-18 2015-10-22 Butterfly Network, Inc. Ultrasonic transducers in complementary metal oxide semiconductor (cmos) wafers and related apparatus and methods
US9385187B2 (en) 2014-04-25 2016-07-05 Texas Instruments Incorporated High breakdown N-type buried layer
TWI658509B (zh) * 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
US9067779B1 (en) 2014-07-14 2015-06-30 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10217681B1 (en) * 2014-08-06 2019-02-26 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
CN105655283A (zh) * 2014-11-13 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 高深宽比的浅沟槽隔离刻蚀方法
US9337292B1 (en) * 2014-11-26 2016-05-10 Texas Instruments Incorporated Very high aspect ratio contact
US9647022B2 (en) * 2015-02-12 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer structure for high aspect ratio etch
KR20160119329A (ko) 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
CN106298502B (zh) * 2015-05-18 2019-04-09 中微半导体设备(上海)股份有限公司 一种利用等离子体对多层材料刻蚀的方法
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9934984B2 (en) * 2015-09-09 2018-04-03 International Business Machines Corporation Hydrofluorocarbon gas-assisted plasma etch for interconnect fabrication
US9627215B1 (en) * 2015-09-25 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9987661B2 (en) 2015-12-02 2018-06-05 Butterfly Network, Inc. Biasing of capacitive micromachined ultrasonic transducers (CMUTs) and related apparatus and methods
CN108091570B (zh) * 2016-11-23 2020-09-04 中芯国际集成电路制造(北京)有限公司 半导体装置及其制造方法
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10196261B2 (en) 2017-03-08 2019-02-05 Butterfly Network, Inc. Microfabricated ultrasonic transducers and related apparatus and methods
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
WO2018186364A1 (ja) * 2017-04-06 2018-10-11 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
AU2018289454A1 (en) 2017-06-21 2019-12-05 Butterfly Network, Inc. Microfabricated ultrasonic transducer having individual cells with electrically isolated electrode sections
US11075084B2 (en) * 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers
JP2019050305A (ja) * 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
KR102487054B1 (ko) 2017-11-28 2023-01-13 삼성전자주식회사 식각 방법 및 반도체 장치의 제조 방법
US10756105B2 (en) * 2018-11-26 2020-08-25 Micron Technology, Inc. Memory arrays and methods used in forming a memory array
JP2022510370A (ja) 2018-12-04 2022-01-26 サンライズ メモリー コーポレイション 多層水平nor型薄膜メモリストリングの形成方法
KR20200100555A (ko) * 2019-02-18 2020-08-26 도쿄엘렉트론가부시키가이샤 에칭 방법
US11171013B2 (en) * 2019-04-22 2021-11-09 University Of Maryland, College Park Leveraging precursor molecular composition and structure for atomic layer etching
US11069598B2 (en) * 2019-06-18 2021-07-20 Micron Technology, Inc. Memory arrays and methods used in forming a memory array and conductive through-array-vias (TAVs)
JP7339032B2 (ja) * 2019-06-28 2023-09-05 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN110544627A (zh) * 2019-09-12 2019-12-06 长江存储科技有限责任公司 高深宽比开口的刻蚀方法及刻蚀气体
CN110767658A (zh) * 2019-10-30 2020-02-07 上海华力微电子有限公司 闪存器件的形成方法
KR102244862B1 (ko) * 2020-08-04 2021-04-27 (주)원익머트리얼즈 식각 가스 혼합물과 이를 이용한 패턴 형성 방법
EP4231333A1 (de) * 2020-10-15 2023-08-23 Resonac Corporation Ätzgas, verfahren zur herstellung davon, ätzverfahren und verfahren zur herstellung eines halbleiterbauelements
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
KR102244885B1 (ko) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 높은 선택비를 갖는 식각 가스 조성물과 이를 이용한 반도체 메모리 소자의 제조 공정
US11342336B1 (en) * 2021-02-03 2022-05-24 Micron Technology, Inc. Integrated circuitry, memory circuitry, method used in forming integrated circuitry, and method used in forming memory circuitry
US20230094212A1 (en) * 2021-09-30 2023-03-30 Tokyo Electron Limited Plasma etch process for fabricating high aspect ratio (har) features

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6383918B1 (en) * 1999-03-15 2002-05-07 Philips Electronics Method for reducing semiconductor contact resistance
US20030045114A1 (en) * 2001-06-19 2003-03-06 Tuqiang Ni Plasma etching of dielectric layer with etch profile control
US6613691B1 (en) * 1998-03-27 2003-09-02 Applied Materials, Inc. Highly selective oxide etch process using hexafluorobutadiene
US20050181588A1 (en) * 2004-02-13 2005-08-18 Kim Jeong-Ho Method to form a contact hole
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2407419A (en) * 1943-02-15 1946-09-10 Du Pont Stabilization of tetrafluoroethylene
US4381384A (en) * 1981-08-17 1983-04-26 E. I. Du Pont De Nemours And Company Continuous polymerization process
EP0246514A3 (de) * 1986-05-16 1989-09-20 Air Products And Chemicals, Inc. Ätzung tiefer Nuten in monokristallinen Silizium
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
JP3623256B2 (ja) 1993-06-30 2005-02-23 株式会社東芝 表面処理方法および表面処理装置
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
DE69508273T2 (de) 1994-11-18 1999-11-04 Advanced Micro Devices Inc Verfahren zum ätzen von siliziumnitrid mit verstärkung der kritischen abmessung
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
DE19803997B4 (de) * 1998-02-02 2018-01-25 Giesecke+Devrient Currency Technology Gmbh Wertdokument
US6228775B1 (en) 1998-02-24 2001-05-08 Micron Technology, Inc. Plasma etching method using low ionization potential gas
JP3336975B2 (ja) 1998-03-27 2002-10-21 日本電気株式会社 基板処理方法
US6123862A (en) 1998-04-24 2000-09-26 Micron Technology, Inc. Method of forming high aspect ratio apertures
JP2991192B1 (ja) 1998-07-23 1999-12-20 日本電気株式会社 プラズマ処理方法及びプラズマ処理装置
SG93856A1 (en) * 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6890863B1 (en) 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
KR100465596B1 (ko) * 2000-05-24 2005-01-13 주식회사 하이닉스반도체 반도체소자의 제조방법
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US7112533B2 (en) 2000-08-31 2006-09-26 Micron Technology, Inc. Plasma etching system and method
JP2002110647A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
EP1233449A3 (de) * 2001-02-15 2006-03-01 Interuniversitair Micro-Elektronica Centrum Verfahren zur Herstellung einer Halbleitervorrichtung
US20020142610A1 (en) 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US6800210B2 (en) * 2001-05-22 2004-10-05 Reflectivity, Inc. Method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
US6921725B2 (en) 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
DE10309711A1 (de) * 2001-09-14 2004-09-16 Robert Bosch Gmbh Verfahren zum Einätzen von Strukturen in einem Ätzkörper mit einem Plasma
JP4073204B2 (ja) 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7176122B2 (en) * 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
US7344975B2 (en) 2005-08-26 2008-03-18 Micron Technology, Inc. Method to reduce charge buildup during high aspect ratio contact etch
JP2007180493A (ja) 2005-11-30 2007-07-12 Elpida Memory Inc 半導体装置の製造方法
WO2007105261A1 (ja) 2006-03-09 2007-09-20 Philtech Inc. 層間絶縁膜のドライエッチング方法
KR100763227B1 (ko) * 2006-04-04 2007-10-04 삼성전자주식회사 분리 노광 방법을 이용한 포토마스크와 그 제조 방법 및 제조 장치
JPWO2007116515A1 (ja) * 2006-04-07 2009-08-20 株式会社フィルテック 半導体装置及びその製造方法、ドライエッチング方法、配線材料の作製方法、並びにエッチング装置
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6613691B1 (en) * 1998-03-27 2003-09-02 Applied Materials, Inc. Highly selective oxide etch process using hexafluorobutadiene
US6383918B1 (en) * 1999-03-15 2002-05-07 Philips Electronics Method for reducing semiconductor contact resistance
US20030045114A1 (en) * 2001-06-19 2003-03-06 Tuqiang Ni Plasma etching of dielectric layer with etch profile control
US6942816B2 (en) * 2003-02-12 2005-09-13 Lam Research Corporation Methods of reducing photoresist distortion while etching in a plasma processing system
US20050181588A1 (en) * 2004-02-13 2005-08-18 Kim Jeong-Ho Method to form a contact hole

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9514959B2 (en) 2012-10-30 2016-12-06 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US10381240B2 (en) 2012-10-30 2019-08-13 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch
US11152223B2 (en) 2012-10-30 2021-10-19 American Air Liquide, Inc. Fluorocarbon molecules for high aspect ratio oxide etch

Also Published As

Publication number Publication date
US20140077126A1 (en) 2014-03-20
GB2468458B (en) 2013-02-20
TWI402908B (zh) 2013-07-21
US20090176375A1 (en) 2009-07-09
KR101158205B1 (ko) 2012-06-20
GB2468458A (en) 2010-09-08
CN101911263A (zh) 2010-12-08
KR20100098580A (ko) 2010-09-07
TW200949929A (en) 2009-12-01
DE112008003598T5 (de) 2010-12-16
GB201011217D0 (en) 2010-08-18
US8614151B2 (en) 2013-12-24
WO2009088660A1 (en) 2009-07-16
CN101911263B (zh) 2012-07-18

Similar Documents

Publication Publication Date Title
DE112008003598B4 (de) Verfahren zum Ätzen einer Öffnung mit hohem Längen-/Breitenverhältnis
DE69835032T2 (de) Verbesserte methode eine oxidschicht zu ätzen
DE10230088B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE10030308B4 (de) Verfahren zur Herstellung eines Kontaktstifts und eines Halbleiterbauelementes
US8088691B2 (en) Selective etch chemistries for forming high aspect ratio features and associated structures
KR100347648B1 (ko) 포토레지스트및에칭잔류물제거방법
US6306772B1 (en) Deep trench bottle-shaped etching using Cl2 gas
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE69626562T2 (de) Verfahren zum isotropen Ätzen von Silizium, das hochselektiv gegenüber Wolfram ist
US6800213B2 (en) Precision dielectric etch using hexafluorobutadiene
DE102017127921A1 (de) Ätzungsnachbehandlung eines elektrisch leitenden Merkmals
KR100255405B1 (ko) 드라이에칭방법
JP2010503207A5 (de)
DE3216823A1 (de) Verfahren zum herstellen von strukturen von aus metallsilizid und polysilizium bestehenden doppelschichten auf integrierte halbleiterschaltungen enthaltenden substraten durch reaktives ionenaetzen
EP1667216A2 (de) Hohe Ätzgeschwindigkeiten dielektrischer Materialien mittels Plasma hoher Dichte und niedriger Bombardierungsenergie
KR101877827B1 (ko) 에칭 가스 및 에칭 방법
KR20200018897A (ko) 플라즈마 식각 방법
DE4202447A1 (de) Verfahren zum aetzen von nuten in einem silizium-substrat
DE112005001713B4 (de) Verfahren zum Ätzen und Herstellen einer Mikrospiegelvorrichtung
DE102018126993A1 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE10037957C1 (de) Verfahren zum anisotropen Trockenätzen organischer Antireflexionsschichten
DE10304851A1 (de) Ätzverfahren
EP1166349B1 (de) Verfahren zur herstellung einer grabenisolation für elektrisch aktive bauelemente
CN110571150B (zh) 高深宽比开口的刻蚀方法及半导体器件
KR102196809B1 (ko) 플라즈마 식각 방법

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final