TW446757B - Improved techniques for etching an oxide layer - Google Patents

Improved techniques for etching an oxide layer Download PDF

Info

Publication number
TW446757B
TW446757B TW087120755A TW87120755A TW446757B TW 446757 B TW446757 B TW 446757B TW 087120755 A TW087120755 A TW 087120755A TW 87120755 A TW87120755 A TW 87120755A TW 446757 B TW446757 B TW 446757B
Authority
TW
Taiwan
Prior art keywords
quot
layer
plasma processing
patent application
item
Prior art date
Application number
TW087120755A
Other languages
English (en)
Inventor
Giao Quynh Bui-Le
John Arima
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Application granted granted Critical
Publication of TW446757B publication Critical patent/TW446757B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Description

附仵三:第87120755號專利申請栗 中文說明書修正頁(含元件符號對照表)‘ 民國90年1月呈
五、發明說明(1 ) 發明背景 (請先閱讀背面之注意事項再填寫本頁) 本發明係關於積體電路(I C )及平面顯示器之製備 。定言之,本發明係關於在半導體裝置製程期間蝕刻穿透 基板之含二氧化矽層的改良方法及裝置。 在半導體裝置製造時,可以設置,圖樣化,及蝕刻不 同層已在基板(億級|玻璃平板或半導體晶圓)上形成所 要之結構。在一些半導體裝置裡,通常使用氮化鈦作爲蝕 刻含二氧化矽之底層期間的蝕刻終止層或作爲抗反光塗層 (ARC)。例如,當T i N層用於含二氧化矽層(如 PETE 0S (電漿增強之四乙基原矽酸鹽),BSG ( 摻硼之矽酸鹽玻璃),USG (未摻硼之矽酸鹽玻璃), B P S G (硼磷矽酸鹽玻璃)或相似物)底下時,T _ i N 物質可做微晶通道蝕刻穿透含二氧化矽層期間的蝕刻終止 物。其後,T i N物質可以作爲接續放置之鎢或鋁栓及任 何金屬底層(例如銅或鋁)之間的障礙物或黏附物質。 經濟部智慧財產局員工消費合作社印製 爲利於說明起見,第1圖係顯示基板之一些說明用層 的剖面圖。請參考所附之圖式,注意,在所示各層上方, 下方或之間可以存在其它額外層。此外,並非所有示出的 層必定要存在而一些或全部可由其它不同層取代。第1圖 中顯示一底層1 0 2 ,其代表任何或一種可置於基板上之 T i N層底下的層。例如,底層1 0 2可以代表基板本身 或可以代表在放置T i N層1 0 4前接續放置並蝕刻的層 a T i N層1 〇 4顯示放置於底層1 〇 2及接續放置之含 二氧化矽層1 0 6之間。雖然第1圖之層爲放大以利於說 本紙張尺度適用中囷國家標準(CNS)A4規格(210 X 297公釐) -4 - 446757 A7
在一些情況裡,通常想要向下蝕刻穿透含二氧化矽層 1 0 6至含二氧化矽層1 0 6和τ 1 N層1 〇 4之間的介 面而完全蝕刻穿透T i N層1 〇 4。在這些情況裡, T i N層1 0 4可以作爲蝕刻終止層,亦即想要在T i N 層1 0 4被蝕刻穿透前終止蝕刻。隨著半導體裝置密度日 益增加,使用習知蝕刻技術經含二氧化矽層1 0 6蝕刻而 不破壞T i N底層1 〇 4乃日益困難。其原因爲T i N層 在現代高密度半導體裝置內通常相當薄,因爲較薄的 Τ ί N對於高密度裝置製造商而言更具生產力。 在習知技藝裡,氧化物層(即,含二氧化矽的層)的蝕刻典型係利用CxFy 化學方式(例如c F 4,C 2 F s,C F 8,或相似方式)完成= C X F Y化學方式主要因其蝕刻穿透氧化層的速度高而被選 擇。以其爲例,習知技藝C X F γ化學方式典型以大於約每 分鐘2,000埃的速度蝕刻穿透氧化物層。亦即,習知 技藝CxFY化學方式也已相當顯著的蝕刻速度蝕刻Τ 1 Ν 物質。也就是說,C X F Y化學方式典型具有7比1到1 ◦ 比1的氧化物對T i Ν選擇度(亦即> C X F γ蝕刻穿透氧 化物物質是其蝕刻穿透T i N物質的7到1 0倍快)。 第2圖中,溝道1 0 8係蝕刻穿透含二氧化矽層 1〇6。T i N層1 0 4欲作爲蝕刻終止層並應已經在氧 化物蝕刻進行到底層1 0 2之前終止氧化物蝕刻°然而’ 習知技藝化學方式之低T i N選擇度則造成相當薄而能使 (請先閲讀背面之注意事項再填寫本頁) -----tL·- 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國囷家楳準(CNS)A4規格(210 X 297公釐) • 5 ** Λ46757 A7 B7 五、發明説明(3 ) 半導體裝置緊密結合的第2圖T i N層完全蝕刻穿透溝道 108。當TiN層未被留意地鈾刻穿透時,溝道1〇8 的底部可能存在不規則外觀帶進一步加工,這可能造成製 造裝置由於例如各層非意料性不對準而故障。爲利於說明 ,第3圖係顯示一多層氧化物結構3 0 0,其包括多層氧 化物層3 0 2。爲說明起見,多層氧化物層3 0 2包括厚 區域3 0 4及薄區域3 0 6,雖然各種厚度的其它區域也 可以存在於多層氧化物層3 0 2裡面。多層氧化物層 3 ◦ 2係置於T i N層1 0 4上,欲作爲鈾刻多層氧化物 層3 0 2期間蝕刻終止物。爲一致起見,底層1 0 2也示 於TiN層104底下。 在一些情況裡,想要同時在多層氧化物層3 0 2之厚 區域3 0 4及薄區域3 0 6裡得到通道。因爲薄區域 306較厚區域304薄,因此薄區域3 0 6內的通道蝕 刻可能在氧化物物質完全被蝕刻穿透前完成。如果氧化物 蝕刻一直繼續以利於厚區域3 0 4內通道的蝕刻,則習知 技藝CxFy化學方式之低氧化物對T i N選擇度可能不依 經濟部中央標準局員工消費合作社印製 (請先閲讀背面之注意事項再'¥寫本頁)
T 所要方式蝕刻穿透薄區域3 0 6內通道裡面的T i N物質 〇 另一方面,如果氧化物蝕刻步驟縮短以避免破壞薄區 域3 0 6內通道底下的τ i N物質,則穿透多層氧化物層 3 0 2之厚區域的通道可能無法完全被蝕刻穿透。顯然’ 習知技藝化學方式之低氧化物對T i N選擇度在蝕刻現代 高密度I C之多層氧化物層時造成各種問題。 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ297公釐) _ 6 _ 446757 Λ7 Α7 Β7 五、發明説明(4 ) 以前述觀點觀之,係需要一種在半導體裝置製造期間 蝕刻穿透氧化物層的改良技術。經改良之技術較佳提供高 氧化物對T i N選擇度以實質地降低氧化物蝕刻期間對 T i N底層的破壞。 發明槪要說明 本發明,在一具體實施例裡,係關於一種在電漿加工 室內蝕刻基板的方法。基板在其上具有一置於丁 i N層上 方之含二氧化矽層。該方法包括在電漿加工室裡定位基板 。這也包括使包含CO,CHF3,氖及N2的蝕刻劑來源 氣體流入電漿加工室。此外,也包括在電漿加工室裡面形 成除蝕刻劑來源氣體外的電漿以使含二氧化矽層進行蝕刻 〇 經濟部中央檩準局員工消費合作衽印製 在另一具體實施例裡,本發明係關於一種避免在電漿 加工室裡蝕刻多層含二氧化矽層的同時破壞Τ ί N底層的 方法。多層含二氧化矽層係置於基板上之T i Ν層的上方 。多層含二氧化矽層係包括薄區域及厚區域。該方法包括 在含二氧化矽層上方形成一光抗蝕罩。光抗蝕罩在其中於 薄區域上方具有第一通道切口( via opening )而於厚區域 上方具有第二通道切口。 方法進一步地包括將基板(包括光抗蝕罩)置入電漿 加工室。這也包括使包含CO,CHFs,氣及N2的蝕刻 劑來源氣體流入電漿加工室。此外,也包括爲電漿加工室 的電極提供電力,以形成除蝕刻劑來源氣體外的電漿,藉 -7- (請先閲讀背面之注意事項再#寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4规格(210X297公釐) 446 7 57 A7 A7 B7 五、發明説明(5 ) 以經由第一通道切口及第二通道切口進行多層含二氧化碎 層的蝕刻。在蝕刻期間,C 0,C H F 3,氖及N 2的流速 係爲使薄區域內多層含二氧化矽層完全被蝕刻穿透而不破 壞多層含二氧化矽層之薄區域底下T i Ν層者。 在又一具體實施例裡,本發明係關於一種形成積體電 路的方法》該方法包括提供一半導體晶圓,該晶圓其上具 有一置於T i_N層上方之含二氧化矽層。也包括將半導體 置入電榮加工室。此外,也包括使包含C〇,CHFs,氣 及N 2的蝕刻劑來源氣體流入電漿加工室。另外,也包括在 電漿加工室裡面形成除蝕刻劑來源氣體外的電漿以使含二 氧化矽層進行蝕刻。 本發明之這些及其它特徵將可從下列之本發明內容詳 細說明及其所附圖式顯而易知。 圖式之簡要說明 經濟部中央標準局員工消費合作社印製 爲了進一步瞭解本發明之特徵及技術內容,請詳細參 閱以下有關本發明之說明,其實施例及所附之圖式,然而 該實施例僅供參考與說明,非爲對本發明做任何限制者。 爲利於說明,第1圖係顯示基板之一些說明用層(包 括含二氧化矽層及T i N底層)的剖面圖。 在第2圖中,係經由第1圖之含二氧化矽層蝕刻一溝 道。 第3圖係顯示一說明用多層氧化物結構,包括多層氧 化物層。 -8 - (請先閱讀背面之注意事項再^寫本頁) 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 4 46 75 7 H u 卜 a? r----------- _B7 $ a丄二 I 五、發明說明(6 ) ------- 第4圖係顯示三極管型電漿加工系統,其代表一種適 合以本發明C 0 / C H F 3 /氖/ N 2蝕刻劑來源氣體使用 的電漿加工系統。 第5圖係顯示本發明之一具體實施例,其步驟包括蝕 刻在T i Ν層上方具有氧化物層的基板。 元件對照表 經濟部智慧財產局員工消費合泎达中纪 4 0 2 加 工 系 統 4 0 4 室 4 〇 6 上 電 極 4 0 8 下 電 極 4 1 0 璋 4 1 2 孔 4 1 4 基 板 4 2 0 R F 電 源 4 2 2 接 地 陽 極 4 5 0 排 出 場 5 0 2 步 驟 5 0 4 步 驟 5 0 6 步 驟 1 0 2 底 層 1 0 4 層 1 0 6 含 二 氧 化 矽 層 3 0 2 多 層 氧 化 物 層 (請先閱讀背面之注意事項再填寫本頁) r 裝 i 丨-Ί---訂________- 本紙張尺度適用中画國家標準(CNS)A4規格(210 X 297公釐) -9 - 446757 A7
經濟部智慧財產局員工消費合作社印製 ______B7_五、發明說明¢:¾ ) 3 0 4 厚區域 — 3 0 6 薄區域 5 0 0 開始 5 0 8 結束 3 0 0 多層氧化物結搆 10 8 溝通 較佳具體實施例的詳細說明 本發明將以數個較佳具體實施例及其所附圖式做參考 而詳細地說明β下列說明中,描述數個特定細節以達徹底 瞭解本發明之意。然而,對於熟習該項技藝者而言,根據 上述說明可能對該具體實施例做部分變更或修改,卻未脫 離本發明之精神範疇。 根據本發明之一觀點,上述T 1 N破壞組織實質上係 由以新穎化學方式(包括電漿加工系統內CO,CHF3, 氖及N 2 )蝕刻氧化物層(亦即含二氧化矽層)而減輕其程 度。在一較佳具體實施例裡,係採用本發明之化學方式來 石科穿透三極管型電漿加工系統,例如加州Lam Research 公司出品的L a m 9 5 Q 0 τ M電漿加工系統內的氧化物 層。然而,想要的作法是,使用C 0 / C H F 3 /氖/ N 2 的本發明氧化物蝕刻技術可以在已知的電漿加工裝置,包 括但不限於乾蝕刻,電漿蝕刻,反應性離子蝕刻,磁性強 化反應性離子蝕刻,電子迴旋加速器共振蝕刻,或相似技 術所採用的裝置內進行。注意,不論供應給電漿的能量是 否經由電容耦合平行電極板,經由E C R微波電漿源,+或 (請先閱讀背面之注意事項再填寫本頁) .-11--^----訂------I I . 木紙張尺度適用尹國®家標準(CNS)A4規格(210 X 297公袭) 一*Η 446757 A7 B7 五、發明説明(7 ) 經由感應耦合源,例如螺旋體,螺旋共振體,及變壓器、 耦合源(平面式或非平面式)輸送。這些加工系統之中, 可爲許多公司(包括上述Lam Research公司)的市售品。 爲利於說明起見,第4圖係顯示一三極管型加工系統 402 ’其代表一種適合以本發明CO/CHF3/氖/ 蝕刻劑來源氣體使用的電漿加工系統。請參考第4圖, 三極管型電漿加工系統4 0 2係包括室4 0 4。在室 404裡面,放置一上電極406及下電極408。在第 4圖的聚體實施例裡,上電極4 0 6表示一組合電極/氣 體分佈板機制,經由該機制,由埠4 1 0進入的蝕刻來源 氣體係經孔4 1 2分佈進入室4 0 4。 在下電極4 0 8上方,係設置一基板4 1 4,表示利 盧一波平面板或其上具有欲蝕刻之氧化物層的半導體晶圓 。上電極4 0 6及下電極4 0 8係由RF電源4 2 0提供 電力驅動,該RF電源係經由適當吻合及/或電容阻斷網 路(傳統構件而且爲簡化起見未示出)提供調頻(R F ) 電源給電擊。在一具體實施例裡,R F電源4 2 0的頻率 範圍係爲大約1356千赫,雖然其它適合的RF頻率 範圍也可以採用。 在上電極4 0 6和基板4 1 4之間,係設置一接地陽 極4 2 2。在第4圖的具體實施例裡接地陽極4 2 2代表 一接地中空陽極,亦即,其中具有數個孔或像差的接地桿 。在電漿蝕刻期間,接地陽極4 2 2係藉由使離子更均勻 分佈於基板4 1 4表面上’幫助改良基板4 1 4上的餓刻 本紙張尺度適用中國國家標準(CMS ) A4規格(210X 297公釐) _ 1 〇 _ 請 先 閱 面 之 注 意 項
頁 經濟部中央標準局員工消費合作社印製 經濟部中央標準局員工消費合作社印製 4467 5 7 A7 ___B7_ 五、發明説明(8 ) 均勻度。 爲了準備氧化物鈾刻,係將其上具有置於T i N層上 方之氧化物層的基板放入室4 0 4並定位於下電極4 0 8 的頂部。然後,使本發明C 0 / C H F 3 /氖/ N 2蝕刻劑 來源氣體流經埠4 1 0。當RF電源施予上電極4 0 6及 下電極4 0 8時,遠端電漿團係撞擊於上電極4 0 6及接 地陽極4 2 2之間,而反應性離子蝕刻(R I Ε )電漿團 則在接地陽極4 2 2及基板4 1 4之間的區域裡面引燃, 以蝕刻基板4 1 4的露出表面。反應係產生揮發性副產物 ,然後經由排出埠4 5 0排出·'氧化物蝕刻步驟在以達預 定時間後或在適當監測裝置(例如光學波長偵測器)偵測 到氧化物物質以備蝕刻穿透時終止。 在不被理論受限下,咸信氧化鈦形成在T i Ν表面上 係促成本發明C 0/C H F 3 /氖/ N2蝕刻技術之氧化物 對TiN的高選擇度。或者或除此,咸信,CHF3, C〇2,C0F2及S i F4之間的反應係產生CF2, C Fx及CHFx聚合物。所形成之聚合物(咸信爲氟碳化 物或氫氟碳化物)其中一些可能在氧化物蝕刻期間阻斷底 層T i N層蝕刻。當此阻斷現象發生時,某程度地延滯 T i N腐蝕。或者或除此,咸信氧化物物質在通道被移除 而且T i N物質露出至反應性物種,鈦將濺射至通道的側 壁並催化聚合物形成以阻斷T i N蝕刻。或者或除此,咸 信氖係在控制R I E滯後時扮演一重要角色(亦即,減小 基板之開放區域內蝕刻速度相對於狹窄區域內蝕刻速度的 本紙張尺度適用中國國家ϋ ( CNS ) A4規格(210X297公釐〉 .-11. " (請先閱讀背面之注意事項再'€k本頁) -.1. 訂 446757 A7 ___B7 五、發明説明(9 ) 差異)。咸信N 2係有助於移除聚合物殘餘物並亦相信在 RIE 滯後控制方面扮演一重要角色。 實施例
在一實施例中,將其上具有6 〇 〇埃厚T i N層及 7,000埃厚和14 ,000埃厚之多層PETEOS 層的8吋晶圓置入上述L am 6.5 0 〇TM電漿加工系 統內。表1係顯示氧化物主要蝕刻步驟,而在一相同晶圓 上蝕刻穿透氧化物層所用的適當參數。 (請先聞讀背面之注意事項再.域寫本頁) 經濟部中央標準局員工消費合作社印製 適當範圍 較佳範圍 較佳蟪______一- 時間(秒) 80-120 90-110 100 ___ CHF3 流(seem) 35-65 45-55 50 _____ CO 流(seem) 25-45 30-40 3 5 ____ 氖流(s c c m) 180-220 190-210 200 ___ N 2 流(s c c m) 20-40 25-35 - 上電極溫度(°C ) 15-25 18-22 20 ______________— 下電極溫度(°C ) 8-16 10-14 12___一 電源(瓦數) 400-700 500-600 55〇_____-- 壓力(毫焦耳) 125-175 140-160 150__一 ir 表 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -12 - 446757
B 五、發明説明(ίο ) 表2係說明氧化物蝕刻步驟,而在一相同晶圓上餓刻 穿透氧化物層所用的適當參數。 適當範圍 較佳範圍 較佳値 時間(秒) 80-120 90-110 100 CHF3 流(seem) 20-40 25-35 30 C〇流(seem) 40-70 50-60 55 氣流(seem) 180-220 190-210 200 N 2 流(s c c m) 20-40 25-35 30 上電極溫度(°C ) 15-25 18-22 20 下電極溫度(°C ) 8-16 10-14 12 電源(瓦數) 400-700 500-600 550 壓力(毫焦耳) 125-175 140-160 150 表2 (諳先聞讀背面之注意事項#..-.极寫本頁) -訂 經濟部中央標準局員工消費合作社印製 第5圖係顯示本發明之一具體實施例,其步驟包括蝕 刻在T i N層上方具有氧化物層的基板。在步驟5 0 2裡 ,係設置一其上具有置於T1N層上方之氧化物層的基板 並將其定位於電漿加工室中。在步驟5 0 4中,係使用本 發明C 0/CHF3 /氖/ N2化學方式蝕刻氧化物層。在 一具體實施例裡步驟5 0 4所用的參數實質上與表1和.2 所示者類似。然而,所揭示之參數可以最佳化及/或改變 至符合特別基板大小,特別氧化物層(組成及厚度二者) ,特別T i N層(組成及厚度二者)及/或特定電漿加工 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) -13- 446757 A7 B7 經濟部中央標準局員工消費合作社印製 五 、發明説明 (11 ) 系 統 的 需 求 〇 在 步 驟 5 0 6 中,基板可以進行另 外 的 傳 統 加 工 步 驟 0 奇 後 經 尾工 的 基板可以加工成之後製程1 C 1 ί片 的 d 1 e 或 加 工形 成 平面顯示器。所得一 或 多 個 I C 晶 片 平 面 顯 示 器 可 以接 著 倂入電子裝置,例如 任 何 已 知 之 市 售 或 消 費 者 電 子 裝置 ) 包括數位電腦。 本 發 明 C 0 / CHF3 /氖/1^2蝕 刻 化 學 方 式 在 — 進 行 的 實 驗 中 有利 地 獲得相對於習知技藝 C x F Y 化 學 方 式 高 氧 化 物 對 T i N ΪΒΒ 擇度。掃瞄電子顯微 鏡 ( S E Μ ) 光 學 顯 微 凸 顯 是 ,氧 化 物對T i N選擇度可 大 於 大 約 5 0 比 1 或 甚 至 大於大約 6 0比1。此可見相較於使用習知技 藝 C X r化學 :方式 所 :觀得之典型7比1到 1 0 比 1 的 氧 化 物 對 T i N 培 擇度 具 有明顯的改良。 此 外 S E Μ 光學顯微圖的分析顯 示 > 可 以 達 成 筒 氧 化 物 對 T i N選 擇 度而不用折衷適當蝕刻剖面 ( profile ) i 臨 界 空 間 大小 ( C D )控制,R I E 滯 後 對 光 抗 蝕 塗 層 的 選 擇 性 ,及 / 或其餘控制如熟習 此 項 技 藝 者 所 知 > T i N 底 層 在氧 化 物蝕刻顆期間由於高 氧 化 物 對 T 1 N 々Be m 擇 度 之 故 而 被有 利 地保護。此外,高氧 化 物 對 T i N 擇 度 有 利 於 氧 化物 蝕 刻期間達高程度的透 孔 蝕 刻 〇 擴 大 透 孔 蝕 刻 的 能 力 在蝕 刻 多層氧化物層時是有 利 的 因 爲 其 保 護 薄 氧 化 物 區 域內 通 道裡面的T i N物質 而 允 許 多 層 氧 化 物 層 之 厚 丨品 域 內的 氧 化物物質被完全蝕刻穿透。 上 述 之 具體 實 施例係用以詳細說明 本 發 明 之 S 的 特 請 間 讀 背 之 注 意 事 項 % 本 頁 訂 本紙張尺度適用中國國家標隼(CNS) A4規格(210X 297公釐) -14- 446757 A7 B7 五、發明説明(Ί2 ) 徵及功效,對於熟習該項技藝者而言,根據上述說明可能 對該具體實施例做部分變更或修改,而未脫離本發明之精 神範疇,故,本發明之專利範圍僅由附錄之申請專利範圍 加以定義。 〔請先閲讀背面之注意事項再^寫本頁) 、-& 經濟部中央標準局負工消費合作社印製 本紙張尺度適用中國國家標準(CNS ) A4规格(2i Ο X 297公釐) _ 15 -

Claims (1)

  1. 六、申請專利範圍 _AJU 附件一A:第87 120755號專利申請案 敝ί· i〇. 中文申請專利範圍修正本 民國和年/月修正 1 .—種在電漿加工室內蝕刻基板的方法’該基板在 其上具有一置於T i N層上方之含二氧化矽層,該方法包 括: 在該電漿加工室裡定位該基板; 使包含C 0 > C H F 3,氖及N 2的蝕刻劑來源氣體流 入該電漿加工室;及 在該電漿加工室.裡面形成除該蝕刻劑來源氣體外的電 漿,以使該含二氧化矽層進行蝕刻,其中該C H F 3對該 CO的流速爲1 . 1到1 . 8,該CHF3對該氖的流速爲 ◦ . 2到0 · 3,及該C H F 3對該N 2的流速爲1 . 5到 2.0。 2 .如申請專利範圍第1項之方法,其中該基板係爲 半導體晶圓》 3 .如申請專利範圍第1項之方法,其中該基板係爲 玻璃面板。 4 .如申請專利範圍第1項之方法,其中該含二氧化 砍層代表一四乙基原砍酸鹽(Τ Ε 0 S )層。 5 .如申請專利範圍第1項之方法,其中該蝕刻劑來 源氣體基本上包括CO,CHF3,氖和ν2。 6 .如申請專利範圍第5項之方法,其中該電漿加工 室代表一其中具有接地中空陽極的三極管型電漿加工室° 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱 1 了 1 : ' "" ----------f ----- I I--mil — (請先閱讀背面之注意事項再填寫本頁) 446757
    痤齊讨1 opivj 肖 乍Fi,f 驭 .. ίιΙΗϋϋιΗΓ- 8.—種避免在電漿加工室裡蝕刻多層含二氧化矽層 的同時破壞T i N底層的方法,該多層含二氧化矽層係置 於基板上之T i N層的上方,該多層含二氧化矽層包括薄 區域及厚區域,該方法包括: 在多層含二氧化矽層上方形成一光抗蝕罩1該光抗蝕 罩在其中於該薄區域上方具有第一通道切口( Via opening )而於該厚區域上方具有第二通道切口; 將該基板(包括該光抗蝕罩)置入該電漿加工室; 使包含CO,CHF3,氖及Ns的蝕刻劑來源氣體流 入該電漿加工室;及 爲該電漿加工室的電極提供電力,以形成除該餘刻劑 來源氣體外的電漿,藉以經由該第一通道切口及該第二通 道切口進行該多層含二氧化矽層的蝕刻,該C0 ’ CHF3 ,氖及的流速係爲使該薄區域內之該多層含二氧化砂層 完全被蝕刻穿透而不破壞該多層含二氧化砂層之該薄區域 底下T i N層者。 9 .如申請專利範圍第8項之方法,其中該蝕刻劑來 源氣體基本上包括CO,CHF3 ’気和Ns。 1 ◦.如申請專利範圍第9項之方法,其中該C H F 3 對該C 0的流速爲〇 . 4到0 . δ ° 1 1 ,如申.請專利範圍第9項之方法,其中^ C H F 3 對該氣的流速爲Q . 1到〇 . 2 本紙張尺度適用中圏固家標準(CNS)A4規格(210 X 297公发)"""""""""~ """"""" n n n I «I n 1· n 1 r— I n n n^*eJa 1 n I n I ^ 广 _ (請先閱讀背面之注意事項#i氣寫本頁) 4467 5 7
    六、申請專利範圍 1 2 .如申請專利範·圍第9項之方法中該C H F 3 對該Ν 2的流速爲0 . 8到1 . 4。 1 3 .如申請專利範圍第9項之方法,其中該電漿加 工室代表一其中具有接地中空陽極的三極管型電漿加工室 1 4 如申請專利範圍第8項之方法,其中該基板係 爲半導體晶圓。 1 5 .如申請專利範圍第8項之方法,其中該含二氧 化砍層代表一四乙基原政酸鹽(Τ Ε 0 S )層。 1 6 .如申請專利範圍第8項之方法,其中該基板代 表一形成積體電路(1C)用的基板。 1 7 .如申請專利範圍第8項之方法,其中該基板代 表一形成平面顯不器用的基板。 —1 8 . —種形成積體電路的方法,其包括: 提供一半導體晶圓,該晶圓其上具有一置於T i Ν層 上方之含二氧化矽層; 將該半導體置入該電漿加工室; 使包含C 0 ’ C H F 3,氖及N £的蝕刻劑來源氣體流 入該電漿加工室:及 在該電漿加工室裡面形成除該蝕刻劑來源氣體外的電 漿,以使該含二氧化矽層進行蝕刻、 1 9 .如申請專利範圍第1 8項之方法,其中該蝕刻 劑來源氣體基本上包括CO ’ CHF3,気和Ν2。 (請先閱讀背面之注意事項再填寫本頁) Λ ί VI i I 1 [ I - — — — — III — 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)-3 -
TW087120755A 1997-12-22 1998-12-14 Improved techniques for etching an oxide layer TW446757B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/996,071 US6083844A (en) 1997-12-22 1997-12-22 Techniques for etching an oxide layer

Publications (1)

Publication Number Publication Date
TW446757B true TW446757B (en) 2001-07-21

Family

ID=25542474

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087120755A TW446757B (en) 1997-12-22 1998-12-14 Improved techniques for etching an oxide layer

Country Status (7)

Country Link
US (1) US6083844A (zh)
EP (1) EP1042796B1 (zh)
JP (1) JP4454148B2 (zh)
AT (1) ATE331298T1 (zh)
DE (1) DE69835032T2 (zh)
TW (1) TW446757B (zh)
WO (1) WO1999033097A1 (zh)

Families Citing this family (147)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW406363B (en) * 1998-11-27 2000-09-21 United Microelectronics Corp The method of forming the opening
US6217786B1 (en) * 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6335292B1 (en) * 1999-04-15 2002-01-01 Micron Technology, Inc. Method of controlling striations and CD loss in contact oxide etch
US6486070B1 (en) 2000-09-21 2002-11-26 Lam Research Corporation Ultra-high oxide to photoresist selective etch of high-aspect-ratio openings in a low-pressure, high-density plasma
US6914004B2 (en) * 2001-09-28 2005-07-05 Texas Instruments Incorporated Method for via etching in organo-silica-glass
DE10340147B4 (de) 2002-08-27 2014-04-10 Kyocera Corp. Trockenätzverfahren und Trockenätzvorrichtung
US7556741B2 (en) 2002-08-28 2009-07-07 Kyocera Corporation Method for producing a solar cell
US6949469B1 (en) 2003-12-16 2005-09-27 Lam Research Corporation Methods and apparatus for the optimization of photo resist etching in a plasma processing system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) * 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3146561B2 (ja) * 1991-06-24 2001-03-19 株式会社デンソー 半導体装置の製造方法
JPH0513593A (ja) * 1991-07-08 1993-01-22 Sanyo Electric Co Ltd 半導体装置の製造方法
US5658425A (en) * 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5698070A (en) * 1991-12-13 1997-12-16 Tokyo Electron Limited Method of etching film formed on semiconductor wafer
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5596431A (en) * 1995-03-29 1997-01-21 Philips Electronics North America Corp. Plasma addressed liquid crystal display with etched electrodes
JP3753194B2 (ja) * 1995-12-14 2006-03-08 セイコーエプソン株式会社 プラズマ処理方法及びその装置
US5843847A (en) * 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5817579A (en) * 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact

Also Published As

Publication number Publication date
WO1999033097A1 (en) 1999-07-01
US6083844A (en) 2000-07-04
JP4454148B2 (ja) 2010-04-21
JP2001527288A (ja) 2001-12-25
DE69835032D1 (de) 2006-08-03
DE69835032T2 (de) 2007-06-06
EP1042796A1 (en) 2000-10-11
ATE331298T1 (de) 2006-07-15
EP1042796B1 (en) 2006-06-21

Similar Documents

Publication Publication Date Title
TW446757B (en) Improved techniques for etching an oxide layer
TW558768B (en) Unique process chemistry for etching organic low-k materials
TWI279861B (en) Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
KR100854609B1 (ko) 피쳐 에칭 방법
TW529105B (en) Etching method of organic based insulating film and dual damascene process
TW584672B (en) Method of plasma etching dielectric materials
KR101032831B1 (ko) 챔버 탈불화 및 웨이퍼 탈불화 단계들을 방해하는 플라즈마에칭 및 포토레지스트 스트립 프로세스
TW449872B (en) Method for forming contacts of semiconductor devices
TWI436419B (zh) A plasma etch method and a computer readable memory medium
JP4852213B2 (ja) 高選択性のsacのエッチングの方法
JP2007129219A (ja) 二酸化シリコンに対してc4f8及び窒化チタンに対してcf4を用いるエッチング工程
US6410451B2 (en) Techniques for improving etching in a plasma processing chamber
TW536756B (en) Method of removing silicon nitride film
JPH0555181A (ja) 半導体装置の製造方法
WO2003058700A1 (fr) Procede de traitement au plasma
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
US20060213616A1 (en) Plasma etching method, plasma etching apparatus, control program, computer recording medium and recording medium having processing recipe recorded thereon
JP2007528610A5 (zh)
TW479291B (en) Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
JP2006108268A (ja) 強誘電体キャパシタ構造およびその作製方法
US6491042B1 (en) Post etching treatment process for high density oxide etcher
US5968278A (en) High aspect ratio contact
US5950092A (en) Use of a plasma source to form a layer during the formation of a semiconductor device
TW200425332A (en) Methods of reducing photoresist distortion while etching in a plasma processing system
TW400571B (en) Self-aligned contacts for semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees