KR102185347B1 - 보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스 - Google Patents

보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스 Download PDF

Info

Publication number
KR102185347B1
KR102185347B1 KR1020140054340A KR20140054340A KR102185347B1 KR 102185347 B1 KR102185347 B1 KR 102185347B1 KR 1020140054340 A KR1020140054340 A KR 1020140054340A KR 20140054340 A KR20140054340 A KR 20140054340A KR 102185347 B1 KR102185347 B1 KR 102185347B1
Authority
KR
South Korea
Prior art keywords
layer
etching
hard mask
trenches
openings
Prior art date
Application number
KR1020140054340A
Other languages
English (en)
Other versions
KR20140132295A (ko
Inventor
아난스 인드라칸티
바스카르 나가바이라바
알란 젠센
탐 초이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140132295A publication Critical patent/KR20140132295A/ko
Application granted granted Critical
Publication of KR102185347B1 publication Critical patent/KR102185347B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

올인원 트렌치-오버-비아 에칭으로서, (a) 약 45 내지 80℃로 척 온도를 유지하면서 로우-k 물질을 에칭하는 단계, 및 (b) 약 90 내지 130℃로 척 온도를 유지하면서 금속 하드 마스크 라운딩 및 Ti-기반의 잔류물의 제거 단계의 교번적인 단계에서, 물질을 함유하는 티타늄 질화물의 금속 하드 마스크 아래의 로우-k 물질의 에칭이 수행된다.

Description

보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스 {PULSED DIELECTRIC ETCH PROCESS FOR IN-SITU METAL HARD MASK SHAPE CONTROL TO ENABLE VOID-FREE METALLIZATION}
본 발명은 플라즈마 프로세싱 장치에서 반도체 기판을 프로세싱하는 방법과 관련된 것으로서, 보다 상세하게는 티타늄 질화물 (TiN) 함유 물질의 금속 하드 마스크 (MHM) 아래에서 로우-k 물질에 대해 올-인-원 트렌치-오버-비아 (TOV) 에칭을 수행하는 것과 관련된 것이다.
기판, 예를 들어 평판 디스플레이 제조에 이용되는 것과 같은 반도체 기판 또는 글래스 패널의 프로세싱에서, 플라즈마가 종종 채용된다. 기판의 프로세싱 (화학 기상 증착, 플라즈마 강화 화학 기상 증착, 물리 기상 증착, 원자층 증착 등) 의 일부로서, 기판은, 이들 각각이 집적 회로가 될 것인, 복수의 다이들, 또는 직사각형 영역들로 분할된다. 이후에 기판은 그 위에 전기적 부품들을 형성하기 위해 물질들이 선택적으로 제거되는 (에칭) 그리고 증착되는 (증착) 일련의 단계들 내에서 프로세싱된다.
기판 상의 유전체 층들 상에 전도성 패턴들을 형성함으로써, 집적 회로들이 연속하여 생성된다. 예시적인 플라즈마 프로세스에서, 에칭 이전에 기판은 경화된 에멀젼의 박막 (즉, 포토레지스트 마스크) 로 코팅된다. 경화된 에멀젼의 영역들은 이후에 선택적으로 제거되며, 이는 하부 층의 일부가 노출되는 것을 야기한다. 기판은 이후에 플라즈마 프로세싱 챔버 내의, 척으로 불리는 단극 (mono-polar) 또는 양극 (bi-polar) 전극을 포함하는 기판 지지 구조물 상에 배치된다. 적절한 에천트 소스 가스들 (예를 들어, C4F8, C4F6, CHF3, CH2F3, CF4, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2 등) 이 이후에 챔버 내로 유동되며, RF 주파수들의 세트에 의해 점화되어 (struck) 기판의 노출된 영역들을 에칭하도록 플라즈마를 형성한다. RF 주파수들의 세트 내의 조절들을 통해 플라즈마 내의 이온 에너지의 양을 제어함으로써, 에칭 프로세스는 최적화된다.
듀얼 다마신 (dual damascene) 으로 알려진, 일반적인 기판 제조 방법에서, 유전체 층들은 비아 홀을 충진하는 전도성 플러그에 의해 전기적으로 연결된다. 일반적으로, 개구가 유전체 층 내에 형성되고, 이는 이후에 두 개의 전도성 패턴들의 세트들 사이의 전기적 접촉을 허용하는 전도성 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 로 충진된다. 이는 다층 필름 스택 내의 상호접속 층들 같은, 기판 상의 활성 영역들 사이의 전기적 접촉을 달성한다. 유전체 층의 표면 상의 초과 전도성 물질은 일반적으로 화학적 기계적 연마 (CMP) 에 의해 제거된다.
그러나, 기판들 상의 높은 전류 밀도를 위한 요건들의 확대는, 서브-미크론 비아 컨택들 및 트렌치들이 높은 종횡비들을 갖는 현재 플라즈마 프로세싱 기술들을 이용하여 충족시키는데 어려움이 있을 수 있다. 새로운 로우-k 필름들 및 복합 필름 스택들의 이용은 유전체 에칭 프로세스들 및 장비에 대한 새로운 과제들의 세트를 제공한다.
플라즈마 프로세싱 장치 내에서 온도 제어된 정전 척에 의해 지지되는, 다-층 필름 스택 내에 자기-정렬된 (self-aligned) 비아들 및 트렌치들을 에칭하는 방법으로서, 자기-정렬된 비아들 및 트렌치들의 에칭 동안 온도 제어된 정전 척은 다-층 필름 스택의 온도를 조절하는 방법이 본 명세서에 개시된다. 방법은 a) 척을 약 45 내지 80℃로 유지하면서, 티타늄 질화물 함유 물질의 금속 하드 마스크 (MHM) 아래의 로우-k 물질을 에칭하는 단계, 그리고 b) 척을 약 90 내지 130℃로 유지하면서, MHM 라운딩, 및 TiN과 TiFx (여기서, x < 4) 같은 Ti-기반의 잔류물들을 제거하는 단계, 그리고 a) 및 (b) 단계들을 적어도 한 번 반복하는 단계의, 교번적인 (alternating) 단계들을 포함한다.
금속 하드 마스크는 바람직하게는, TiN, TiSiN, TiTaN, TiON 및 이들의 조합으로 구성되는 그룹으로부터 선택된 물질로 형성된다. (a) 및 (b) 단계들은 바람직하게는 용량성 커플링 플라즈마 챔버 내에서 수행되며, (a) 단계 동안 27 및 60 MHz RF 전력이 약 300 내지 500 와트로 공급되며, CxFyHz(x > 1, y > 1, z > 0), 산소 함유 가스 및 질소 함유 가스를 포함하는 프로세스 가스를 10 내지 30초 동안 공급하면서, 챔버는 약 40 내지 80 mTorr의 진공 압력이 되며, (b) 단계 동안 60 MHz RF 전력이 약 100 내지 300 와트로 공급되며, CxFy (x > 1, y > 1) 및 질소 함유 가스를 포함하는 산소 프리 (free) 프로세스 가스를 10 내지 30초 동안 공급하면서, 챔버는 약 20 내지 40 mTorr의 진공 압력이 된다.
방법은 다-층 필름 스택을 약 30 내지 50 ℃의 온도로 유지하면서, 앞서 패터닝된 포토 레지스트 마스크 아래의 평탄화 층 내로 비아 개구들을 에칭하는 단계를 더 포함하며, 평탄화 층은, 트렌치들을 형성하도록 앞서 패터닝된 금속 하드 마스크 내의 트렌치 개구들 위에 놓이고 (overlying) 트렌치 개구들을 충진하며, 평탄화 층 내의 비아 개구들은 트렌치 개구들보다 크며, 트렌치 개구들 내의 평탄화 물질이 제거되고 타원형 비아 개구들이 평탄화 물질이 제거된 트렌치 개구들의 영역들 내에 형성될 때까지, 에칭하는 단계가 수행된다. 후속 단계에서, 다-층 필름 스택을 약 0 내지 20℃의 온도로 유지하면서, 금속 하드 마스크 아래의 하드 마스크 층 내로 타원형 비아 개구들이 에칭된다. 이후에, 하드 마스크 층 아래의 유전체 층 내로 타원형 비아 개구들이 에칭되며, 이러한 에칭은, 하드 마스크 층 내의 타원형 비아 개구들의 패턴을 유전체 층 내로 전사하고 (transferring), 다-층 스택이 약 60℃ 아래의 온도로 유지되면서 타원형 비아 개구들이 유전체 층 아래의 에칭 스톱 층에 이를 때까지 에칭을 계속함으로써 달성된다. 금속 하드 마스크 내의 트렌치 개구들의 패턴을 노출하도록 평탄화 층을 제거한 (stripping) 이후에, 에칭 스톱 층을 통해 타원형 비아 개구들을 에칭하면서, 하드 마스크 층 내의 트렌치 개구들의 패턴을 유전체 층 내로 전사함으로써 유전체 층 내로 트렌치들이 에칭되고, 다-층 스택이 약 40 ℃ 위의 온도로 유지되면서 트렌치 에칭이 수행된다.
도 1은 본 명세서에 개시된 실시예들에 따른 방법을 수행하는데 이용되는 플라즈마 프로세싱 장치에 관한 블록도이다.
도 2는 본 명세서에 개시된 프로세싱 방법들을 수행하는데 이용될 수 있는 온도 제어된 정전 척에 관한 블록도이다.
도 3a 내지 3h는 본 명세서에 개시된 일 실시예에 따른 자기-정렬된 (self-aligned) 비아를 형성하기 위한 프로세스를 도시한다.
도 4a는 본 명세서에 개시된 프로세싱 방법들의 상이한 단계들 동안의 온도 제어된 정전 척 (ESC) 온도에 관한 최적화된 그래프를 도시하며, 도 4b는 60℃ 아래의 온도에서 트렌치 에칭을 수행하는 경우 획득되는 트렌치-오버-비아 (trench-over-via) 구조물을 포함하는 잔류물을 도시하며, 도 4c는 40℃ 위의 온도에서 트렌치 에칭을 수행하는 경우 획득되는 잔류물 프리 (free) 트렌치-오버-비아를 도시하며, 도 4d는 거의 동일한 상부 비아 CD 및 상부 트렌치 CD를 갖는 잔류물 프리 트렌치-오버-비아를 도시하며, 그리고 도 4e는 거의 동일한 상부 비아 CD 및 상부 트렌치 CD를 갖는 잔류물 프리 트렌치-오버-비아의 하향식 도면을 도시한다.
도 5a는 개선된 금속화를 위해 금속 하드 마스크 (MHM) 형상 제어를 달성하기 위한, 펄싱 프로세스에 대한 온도 제어된 ESC 온도에 관한 그래프를 도시하며, 도 5b는 ("K" 라벨링된) 비-최적의 로우-k 및 ("M" 라벨링된) MHM 형상들을 도시하며, 도 5c는 도 5b에 비해 감소된 로우-k 언더커팅을 갖는 비-최적의 MHM 형상들을 도시하며, 도 5d는 펄싱 프로세스의 다수 사이클들을 이용함에 의한 최적의 MHM 형상들 및 로우-k 프로파일들을 도시하며, 도 5e는 완전한 MHM 제거를 제거하나, 로우-k/TEOS 계면에서의 언더컷 및 비 최적의 TOV-트렌치 간격을 산출하는, 하나의 사이클의 로우-k 에칭 및 MHM 라운딩 프로세스의 효과들을 도시하며, 도 5f는 비-최적의 MHM 및 로우-k 형상들로 인해 보이드들 (V) 이 열악한 금속화를 유발하는 하향식 도면을 도시하며, 그리고 도 5g는 최적의 MHM 및 로우-k 형상들로 인해 적절한 금속화가 제공되는 하향식 도면을 도시한다.
본 발명이 이제 첨부된 도면들에 도시된 바와 같은 본 발명의 몇몇 바람직한 실시예들을 참고하여 상세하게 설명될 것이다. 이하의 설명에서, 본 명세서에 개시된 실시예들의 충분한 이해를 제공하기 위해 다수의 구체적인 설명들이 이루어진다. 그러나, 이러한 상세한 설명들의 전부 또는 일부 없이도 실시예들이 실행될 수 있음은, 본 기술분야의 당업자에 명백할 것이다. 다른 예들에서, 익히 공지된 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 만들지 않기 위해 상세하게 개시되지 않았다.
플라즈마 에칭 비아들 및 트렌치들에서, 다층 필름 스택에서 필름들의 페시팅 (faceting), 물질 침식 (encroachment), 및/또는 코너 스퍼터링 (일반적으로, 에칭 프로파일) 이 기판 온도에 의해 제어될 수 있다. 기판 온도를 제어하기 위해, 플라즈마 프로세싱 시스템에서 기판은 온도 제어된 정전 척 ("TC-ESC") 상에서 지지될 수 있다. 에칭 필름 물질들의 선택도는 기판의 온도를 제어함으로써 증가되거나 감소될 수 있으며, 여기서 기판의 온도는 TC-ESC에 의해 증가되거나 감소될 수 있다. 본 명세서에 개시된 바와 같이, 특히 금속 하드 마스크 층 물질에서의 페시팅을 제한하면서, 페시팅, 물질 침식 및/또는 코너 스퍼터링을 최소화하기 위해 및/또는 유전체 에칭들 내에서 수직 에칭 프로파일에 대한 개선들을 제공하기 위해 기판 온도를 제어하는 동안, 다층 플라즈마 에칭 프로세스가 수행될 수 있다. 이러한 온도 제어는, 특히 바이어스 RF 신호의 주파수 컴포넌트에 대한, 바이어스 RF 신호의 제어를 통해, 이온 에너지를 제어함으로써 보충될 수 있다. 바이어스 RF 신호들에 기초하여 선택도를 개선하는 예시적인 예들이 공동-양수된 미국 특허 제8,222,155호에 개시되어 있으며, 상기 문헌은 본 명세서에 그 전체가 참조로서 포함된다.
일 실시예에 따르면, 금속 친화적 (friendly) 트렌치 프로파일들을 제공하기 위해, 트렌치-오버-비아 에칭 프로세스가 수행된다. 이상적으로는, 트렌치 프로파일들은, Ta/TaN 배리어 및 Cu 시드 증착이, 전기도금 이후에 Cu가 이러한 층들에 원활하게 부착되게끔 맞추어지는 (conformed) 것을 허용할 것이다. 따라서, 보이드들은 디바이스 신뢰성 문제들을 야기할 수 있기 때문에, 이러한 보이드들은 금속화 동안 형성되지 않는 것이 바람직하다. 금속화 장애들 (failures) 은, (1) TiN이 전기도금 동안 신뢰성 없는 구리 시드 증착 및 무작위의 Cu 보이드들을 야기할 수 있는. 삼각 형상을 가지고, 페시팅되기 때문에, 일어날 수 있다. 따라서, 에칭 동안 또는 그 이후에 (금속화 이전에) TiN 라운딩 및 TiFx (x > 1) 제거에 대한 필요가 있으며, (2) 로우-k 프로파일/측벽 손상 제어를 야기하는 열악한 프로파일 형태들과 함께 PVD 프로세스 내에서 시선 (line of sight) 의 부족의 증가 때문에, HM (TEOS)/ 보우잉 (bowing) 하에서의 로우-k 언더컷이 보이드를 심화시키며, 그리고 (3) 더 작은 상부 트렌치-오버-비아 임계 치수들 (TOV CDs) 로 감소되는 Cu 충진 프로세스들에 대한 마진이 있다. 하나의 기술 노드로부터 다른 기술 노드에까지의 전이 동안 CD 수축이 불가피하기 때문에 개선된 MHM 형태 제어가 필요로 된다.
위의 세 개의 요인들 중에서, (1) 및 (2) 는 플라즈마 에칭에 의해 제어될 수 있는데. 즉, 일체화 (integration) 흐름 내에서 Cu 충진 프로세스와 호환성이 있는 최종 프로파일 후-습식 세정을 하기 위해 에칭 프로세스 동안 TiN 라운딩이 일어날 수 있다. 그러나, TiN 라운딩은, 다른 전기적 요건들 및 TDDB (Time Dependent Dielectric Breakdown) 기준을 통과하는데 필요로 되는 TOV 및 트렌치의 치수들과 협의 (compromise) 하지 않으면서, 달성되는 것이 필요로 될 수 있다. 건조 (플라즈마) 에칭 동안에 MHM (TiN) 형태 제어 및/또는 TiFx 제거를 포함함으로써, 비아들의 바닥에 타원형의 (elliptical) 접촉 영역들을 제공하면서, 트렌치들이 상부 비아 임계 치수들 (CDs) 과 대략 동일한 사이즈를 갖는 상부 임계 치수들 (CDs) 을 가지도록, 프로세싱/웨이퍼의 더 낮은 비용, 생산도 (production worthiness), 및 W 하부를 갖는 M1V0 층들과의 호환성이 달성될 수 있다.
본 명세서에 이용될 때에, "타원형"은 비-원형 단면을 가지며, 바람직하게는 트렌치들과 평행한 길이방향에서의 CD들보다 약 1.5 내지 2배 더 큰 트렌치들의 방향에 교차하는 방향에서의 CD들을 가지는, 비아들과 관련이 있다. 트렌치들은 바람직하게는 약 80 nm 또는 그 이하의 피치를 가지며, 트렌치 CD들은 바람직하게는 40 nm 또는 그 이하이다. 타원형 비아들은 약 50nm 직경의 원형 개구를 갖는 마스크를 이용하여 형성될 수 있다. 트렌치들은 약 40 nm 또는 그 이하의 CD를 갖는 트렌치 개구들을 형성하도록 앞서 패터닝된 하드 마스크에 의해 형성된다. 하드 마스크 아래에서 타원형 비아들을 형성하도록, 50 nm 개구들에 의해 형성된 비아들은 교차 방향으로 약 40 nm까지 감소되고, 길이 방향으로 50 nm 치수를 유지한다. 따라서, 80 nm 또는 그 이하의 트렌치 피치들에 대해, 비아들의 하부에 큰 접촉 영역들이 제공될 수 있다.
듀얼 다마신 기판들을 제조하는데 두 개의 접근법이 일반적으로 있다: 비아-우선 및 트렌치-우선. 비아-우선 방법론의 일 예에서, 기판은 포토레지스트로 먼저 코팅되고, 이후에 비아들이 리소그래피로 (lithographically) 패터닝된다. 다음으로, 이방성 (anisotropic) 에칭이 다-층 마스크 물질, 하드 마스크 층을 통해 컷하고 (cuts), 기판의 로우 유전체 층을 통해 에칭하고, 하부 금속 층 바로 위의, 에칭 스톱 배리어 층에서 스톱한다 (stops). 다음으로, 비아 포토레지스트 층이 제거되고, 트렌치 포토레지스트가 도포되고, 리소그래피로 패터닝된다. 포토레지스트의 일부는 비아의 하부에 남을 것이고, 트렌치 에칭 프로세스 동안 하부 일부 비아가 오버-에칭되는 것을 방지할 것이다. 제2 이방성 에칭이 이후에 다-층 마스크 물질을 통해 컷하고, 로우 유전체 물질을 목표된 깊이로 하향 에칭한다. 이러한 에칭은 트렌치를 형성한다. 포토레지스트는 이후에 제거되고, 하부 구리가 비아 내로 스퍼터링되지 않도록 낮은 에너지 에칭으로 비아의 하부에의 에칭 스톱 배리어 층이 개방된다. 위에서 설명된 바와 같이, 트렌치 및 비아는 전도성 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 으로 충진되며, 화학적 기계적 연마 (CMP) 에 의해 연마된다. 비아 우선 접근법은 트렌치들이 비아들 이전에 형성되는 경우에 일어나는 포토레지스트 풀링 (pooling) 효과를 방지하기 때문에, 작은 구조 디바이스에 대해 광범위하게 적용되어 왔지만, 비아 우선 접근법은 포토레지스트 포이즈닝 (poisoning) 이 일어나기 쉬울 수 있다.
대안적인 방법론은 트레치-우선이다. 일 예에서, 기판은 포토레지스트로 코팅되고, 트렌치 리소그래픽 패턴이 적용된다. 이방성 건식 에칭이 이후에 다-층 마스크, 하드 마스크 층을 통해 컷하고, 이후에 포토레지스트의 제거가 후속된다. 또 다른 포토레지스트 층이 트렌치 하드 마스크 위에 적용되고, 이후에 비아들이 리소그래피로 패터닝된다. 제2 이방성 에칭이 이후에 하드 마스크 층을 통해 컷하고, 로우-K 물질 내로 부분적으로 하향 에칭한다. 이러한 에칭은 부분적인 비아들을 형성한다. 포토레지스트는 이후에 하드 마스크를 이용하여 비아들 위의 트렌치 에칭을 위해 제거된다. 트렌치 에칭은 이후에 하드 마스크 층을 통해 컷하고, 로우-K 물질을 목표된 깊이까지 부분적으로 하향 에칭한다. 이러한 에칭은, 비아의 하부에 위치되는 최종 에칭 스톱 배리어 층 상에서 정지되는 동시에, 비아 홀들을 클리어한다 (clear). 하부 구리가 비아 내로 스퍼터링될 수 없도록, 최종 에칭 스톱 배리어 층은 이후에 낮은 에너지 에칭을 이용하여 개방된다. 트렌치-우선 방법론은 포토레지스트 포이즈닝을 감소시킬 수 있다.
도 1은 본 명세서에 개시된 실시예들에 따른 방법들을 수행하는데 이용되는 플라즈마 프로세싱 장치의 블록도이다. 도 1은 반도체 디바이스들의 프로세싱 및 제조에 이용되는 용량성 커플링된 플라즈마 에칭 시스템 (200) 을 도시한다. 대안적인 실시예들에서, 용량성 커플링된 플라즈마 프로세싱 시스템이 활용될 수 있다. 용량성 커플링된 플라즈마 프로세싱 시스템 (200) 은 내부에 플라즈마 챔버 (204) 를 가지는 플라즈마 반응기 (202) 를 포함한다. 가변 전력 공급부 (206) 가 플라즈마 챔버 (204) 내부에 생성되는 플라즈마 (210) 에 영향을 미치는 상부 전극 (208) 에 커플링된다.
온도 제어된 ESC (212) 가 프로세싱될 기판 (214) 을 지지한다. 가변 전력 공급부 (206a) 가 플라즈마 챔버 (204) 내부에 생성되는 플라즈마 (210) 에 영향을 미치는 TC-ESC (212) 에 커플링될 수 있다. 추가로, TC-ESC (212) 가 접지될 수 있다. 본 발명의 일 구체적인 실시예에 따르면, TC-ESC는 기판을 냉각 또는 가열하도록 TC-ESC 내에 매입되는 하나 이상의 온도 제어 메커니즘 (216) 을 포함한다. 온도 컨트롤러 (218) 는 전력 공급부 (220), 및 하나 이상의 온도 제어 메커니즘 (216) 에 커플링되는 열 교환기를 포함할 수 있는 설비들 (261) 을 통해 TC-ESC (212) 의 온도를 제어한다. 하나 이상의 온도 제어 메커니즘 (216) 및 TC-ESC (212) 의 특성들은 아래에서 더 논의된다.
상류의 질량 유동 컨트롤러들의 매니폴드 같은, 가스 공급 메커니즘 (222) 은, 일반적으로 가스 소스 (223) 로부터 플라즈마 반응기 (204) 의 내부로, 제조 프로세스에 요구되는 적절한 화학 물질을 공급한다. 배기 매니폴드 (224) 는 플라즈마 챔버 (204) 내부로부터 가스 및 일부 미립자의 문제를 제거한다. 플라즈마 챔버 (204) 내의 압력은, 종종 진자 (pendulum) 형태인, 쓰로틀 밸브 (226) 를 이용하여 유지된다.
플라즈마 챔버 (200) 내부에서, 다-층 필름 스택 같은, 기판 (214) 의 에칭이, 진공 또는 낮은 압력 분위기에서 기판 (214) 을 이온화된 가스 화합물들 (플라즈마; 210) 에 노출함으로써 달성된다. 프로세스 가스들이 플라즈마 챔버 (204) 내로 전달되는 경우, 에칭 프로세스가 시작된다. 프로세스 가스들을 이온화시키는 가변 전력 급부들 (206, 206a) 에 의해 RF 전력이 전달된다. 전극 (208) 및 TC-ESC (212) 에 의해 전달되는 RF 전력은 TC-ESC (212) 및 기판 (214) 을 향하여 이온 충격을 유발한다. 에칭 프로세스 동안, 플라즈마 (210) 는 마스크에 의해 커버되지 않는 물질을 제거하도록 기판 (214) 의 표면과 화학적으로 반응한다.
도 2는 본 명세서에 개시된 일 실시예에 따른 기판 (310) 의 온도를 제어하기 위한 TC-ESC (212)를 도시하는 개략적인 도면이다. 기판의 온도를 제어하는 방법들의 예시적인 실시예는 공동-소유의 미국특허 제6,921,724에서 발견할 수 있으며, 상기 문헌은 본 명세서에 그 전체가 참조로서 포함된다. 열 교환기 같은 베이스 (302) 는 열적 절연체 (304) 를 지지한다. 바람직하게는 평평한, 지지부 (306) 가 열적 절연체 (304) 상부에 장착된다. 히터 (308) 는 지지부 (306) 내에 매입된다. 다-층 필름 스택 같은, 기판 (310) 이 지지부 (306) 상부에 배치된다. 열적 전도체 (312) 는 지지부 (306) 와 기판 (310) 사이에 밀접한 (intimate) 열적 접촉을 제공할 수 있다. 열적 전도체 (312) 는 바람직하게는 헬륨 및 대안적인 실시예들에서의 아르곤 같은, 가스일 수 있다. 헬륨 압력에 따라, 기판 (310) 과 지지부 (306) 사이의 열적 전도가 증가되거나 감소될 수 있다.
일 실시예에 따르면, 베이스 (302) 는, 금속성 물질, 바람직하게는, 냉각/가열 유체 루프 같은 종래의 열 교환 시스템을 통해 상대적으로 일정한 온도로 유지되는 알루미늄 베이스 저온 플레이트를 포함한다. 또 다른 실시예에 따르면, 베이스 (302) 는 또한 알루미늄 질산염 (aluminum nitrate) 같은 비-금속성 물질을 포함할 수 있다. 그러나, 베이스 (302) 는 히터 (308) 가 없는 기준 오퍼레이션에서보다 더 넓은 범위로 냉각되어야 (chilled) 한다. 예를 들어, 베이스 (302) 의 온도는 기판 (310) 의 목표된 온도 아래의, 10℃ 내지 50℃일 수 있다. 베이스 (302) 는 또한 플라즈마 가열을 위한 열적 싱크 (thermal sink) 를 제공할 수 있다. 외부 냉각수 칠러 (chiller; 미도시됨) 가 베이스플레이트 (baseplate) 의 온도를 유지하는데 이용될 수 있다. 베이스 (302) 는 또한 여러 홀들 또는 캐비티들 (미도시됨) 을 가지며, 이들을 통해 히터 전력 라인들 (312) 또는 다른 서비스 라인들이 배치된다. 이러한 서비스 라인들은 히터, 센서들, 높은 전압 정전 클램핑을 위한 전력 라인들을 포함할 수 있다. 본 기술분야의 숙련자라면 서비스 라인들이 앞서 인용된 것들로 제한되지 않는다는 것을 인지할 것이다.
일 실시예에 따르면, 열적 절연체 (304) 는 지지부 (306) 와 베이스 (302) 사이의, 현저한 열적 임피던스 브레이크 (hermal impedance break) 로서 기능한다. 열적 절연체 (304) 는 폴리머, 플라스틱 또는 세라믹으로 제조된, 두꺼운 RTV 결합 접착층을 포함할 수 있다. 그러나, 열적 절연체 (304) 의 열적 임피던스 브레이크는 너무 과도하지 않을 것이며, 그렇지 않으면 기판 (310) 은 불충분하게 냉각될 것이다. 예를 들어, 열적 절연체는 바람직하게는 약 0.05 W/mK 내지 약 0.20 W/mK의 범위의 열적 전도도를 가진다. 이러한 경우에, 열적 절연체 (304) 는 지지부 (306) 와 베이스 (302) 사이의 본드 (bond) 및 열적 저항성 엘리먼트로서 기능한다. 또한, 열적 절연체 (304) 는 플라즈마와 베이스 (304) 사이의 적절한 RF 커플링이 유지되도록 구성되어야 한다. 또한, 열적 절연체 (304) 는 층 아래에 그리고 위에 위치되는 상이한 물질들 및 온도들에 기인한 현저한 열적-기계적 전단을 견디어야 한다. 바람직하게는, 열적 절연체 (304) 의 두께는 2 mm 미만이어야 한다. 열적 절연체 (304) 는 또한, 히터 전력 라인들 (312) 및 다른 서비스 라인들의 일부를 하우징하기 위한 베이스 (304) 의 캐비티들에 인접한 여러 캐비티들 또는 비아들 (미도시됨) 을 포함할 수 있다. 대안적인 실시예들에서, 열적 절연체 (304) 는 가스 플레이트일 수 있고, 또는 더 바람직하게는 가스 플레이트 (미도시됨) 는 베이스 (302) 와 지지부 (306) 사이의 열적 절연체 (304) 를 동반할 (accompany) 수 있다. 바람직하게는, 선택적인 가스 플레이트가 내부에 유동적으로 격리된 가스 구역들을 포함할 수 있으며, 여기서 헬륨 같은 가스가 내부의 열적 전도도를 조절하도록 유동적으로 격리된 가스 구역 각각으로 공급될 수 있다.
일 실시예에 따르면, 지지부 (306) 는 세라믹 물질을 포함한다. 세라믹은 알루미나 같은 비-전기적 전도성 물질일 수 있다. 지지부 (306) 의 형상은 바람직하게는 플라즈마 에칭 시스템에서 일반적으로 이용되는 종래의 디스크를 포함할 수 있다. 지지부 (306) 는 바람직하게는 종래의 정전 척이나, 대안적으로 기판 (310) 을 하향 홀딩하기 위한 기계적 클램프 (clamp) 를 가지는 세라믹 일 수 있다. 일 실시예에 따르면, 지지부 (306) 의 두께는 약 2 mm이다. 그러나, 본 기술분야의 숙련자라면 다른 두께 또한 적절할 수 있다는 것을 인지할 것이다. 또 다른 실시예에 따르면, 지지부 (306) 구성은 "베이스에 결합된 얇은 디스크" 형태이며, 그렇지 않으면 측방향 (lateral) 전도가 매우 높아서, 히터 인풋이 측방향으로 분산되어 비효율적인 구역 분리를 야기할 수 있다. 지지부는 열이 국부적으로 소멸되는 (dissipate) 것을 허용해야 한다.
히터 (308) 는 적어도 하나의 저항성 엘리먼트를 포함할 수 있다. 일 실시예에 따르면, 히터 (308) 는 클램프 전극 평면 아래의 지지부 (306) 내에 매입될 수 있으며, 임의의 바람직한 패턴으로, 예를 들어 대칭으로 또는 무작위로 (arbitrary) 형상화될 수 있다. 히터 (308) 는 또한 하나 이상의 평면 가열 엘리먼트들을 가질 수 있다. 각 가열 구성요소는 독립적으로 제어될 수 있는 가열 구역 또는 영역을 정의한다. 다수-구역 패턴은, 지지부 (306) 에 전도 냉각에 대향되게 (in opposition to) 기능하는 하나 이상의 평면 가열 엘리먼트들을 가진다. 각 가열 구역과 관련된 센서 (309) 는, 각 개별적인 평면 가열 엘리먼트를 모니터링하고 제어하도록, 각 가열 구역에 대한 온도를 측정할 수 있고, 도 1의 컨트롤러 (218) 같은 컨트롤러 또는 컴퓨터 시스템으로 신호를 전송할 수 있다. 예를 들어, 적외선 방출 센서 또는 써모-커플 (thermo-couple) 센서 같은 센서가 기판 (310) 으로부터 직접 판독하기 (read) 위해 포트들을 통해 장착될 수 있다. 센서들 (309) 은 또한 지지부 (306) 의 후방에 또는 그 내부에 장착될 수 있다. 히터 (308) 는 열적 절연체 (304) 와 베이스 (302) 내의 개구들을 통해 배치되는 전력 라인들 (312) 에 의해 전력 공급될 (powered) 수 있다.
일 실시예에 따르면, 히트 (308) 는 유도성 히터를 포함한다. 또 다른 실시예에 따르면, 히터 (308) 는 크립톤 (krypton) 또는 쿼츠 램프 같은 가열 램프를 포함한다. 또 다른 실시예에 따르면, 히터 (308) 는 가열하고 냉각할 수 있는 열전 (thermoelectric) 모듈들을 포함한다. 열전 모듈들과 함께, 베이스 및 열적 브레이크는 선택적일 수 있다. 히터 (308) 는 또한 저항성 가열 엘리먼트들을 포함할 수 있다. 본 기술분야의 숙련자들이라면 지지부 (306) 를 냉각하고 가열하기 위한 많은 다른 방법들이 있다는 것을 인지할 것이다.
논의를 용이하게 하기 위해, 도 3a는, 개구들 (70, 71) 의 반복 패턴이 다-층 필름 스택 (100) 내에 에칭되고 후속적으로 마스킹된 (masked) 이후의, 반도체 디바이스의 일 실시예의 층들을 나타내는, 다-층 필름 스택 (100) 의 이상화된 단면도를 도시한다. 이하의 논의들에서, 층들 사이의 공간적 관계를 논의하기 위해 본 명세서에서 채용될 수 있는, "위의" 그리고 "아래의" 같은 용어들은, 항상 그러할 필요는 없으나, 관계된 층들 사이의 직접적인 접촉을 나타낼 수 있다. 도시된 위의, 아래의 또는 층들 사이에 다른 추가적인 층들이 존재할 수 있다는 점을 주목해야 한다. 또한, 도시된 층들의 전부가 반드시 필수적으로 존재해야 할 필요는 없으며, 일부 또는 전부는 다른 상이한 층들에 의해 대체될 수 있다. 또한, 용어 "약" 그리고 "실질적으로" 는 서술된 값의 +/- 10% 내의 값을 망라하도록 (encompass) 해석되어야 한다.
다-층 필름 스택 (100) 같은 기판 내에 포함되는 프로세싱 물질들의 선택도는 프로세스 레시피들에 따라 제어될 수 있다. 예를 들어, 프로세스 레시피는, (1) 프로세스 가스 및/또는 프로세스 가스의 성분의 양을 선택하는 단계; (2) 플라즈마를 형성하기 위해 프로세스 압력 및 하나 이상의 전력 레벨들을 선택하는 단계; (3) 기판 온도를 선택하는 단계; 그리고 (4) 에칭 시간을 선택하는 단계를 포함할 수 있으며, 여기서 파라미터들 1-3은 시간에 걸쳐 조정될 수 있다.
이해할 수 있는 바와 같이, 도 3a에서, (제1 금속 라인/층과 제2 두 개의 금속 라인/층 사이의 비아들 및 제2 금속 라인/층의 최종 제조를 위해) 비아들 및 트렌치들을 패터닝하기 위한 최초 마스크 단계에서 구성된 다-층 필름 스택 (100) 의 일부가 도시된다. 다-층 필름 스택 (100) 의 베이스에의, 예를 들어 SiO2를 포함하는, 산화물 층 (10) 이 도시된다. 다-층 필름 스택 (100) 같은 기판은 또한, 산화물 층 (10) 내에 포함될 수 있는, 알루미늄 또는 구리의 전도체 라인들 같은, 다양한 유전체 및 금속 층들 (도시되지 않음) 을 포함할 수 있다. 산화물 층 (10) 위에, 바람직하게는, 약 4.3 내지 5의 유전 상수를 가지는, SiN, SiC, SiCN 또는 SiCHN 같은 탄소 질화물 (carbonitride), 질화물, 또는 탄화물인, 유전체 배리어 층 (15; 예를 들어, Applied Materials, Santa Clara, California로부터 구입가능한 BLoKTM) 이 있다. 배리어 층 (15) 위에 SiN, SiC, 포스포실리케이트 (phosphosilicate) 글래스 (PSG) 등으로 구성될 수 있는 에칭 스톱 층 (20; "ES1 layer") 이 있다. ES1 층 (20) 은 바람직하게는, ES1 층 (20) 위에 배치되는 다공성 로우-k 유전체 층 ("PLKD layer"; 25) 및 배리어 층 (15) 보다 더 높은 유전 상수 (즉, k > 5) 를 가진다. ES1 층 (20) 은 바람직하게는 약 5 내지 9의 유전 상수를 가질 수 있다. PLKD 층 (25) 은 바람직하게는 초 (ultra) 로우-k 물질 (예를 들어, SiOC 등) 을 포함하며, 여기서 PLKD 층 (25) 은 약 1 내지 4.3의 유전 상수, 그리고 더 바람직하게는 약 1.8 내지 3의 유전 상수를 포함한다. PLKD 층 (25) 위에 제1 하드 마스크 층 ("HM1 layer"; 30) 이 위치되며, 이는 테트라에틸 오르도실리케이트 (tetraethyl orthosilicate; "TEOS") 기반의 필름, 실리콘 이산화물, 실리콘 질화물, 실리콘 탄화물, SiOC, SiON, SiCN 및 이들의 조합 같은 실리콘 산화물 필름일 수 있다. HM1 층 (30) 위에 금속 하드 마스크 층 ("MHM layer"; 35) 이 배치될 수 있다. MHM 층 (35) 위에 제2 하드 마스크 층 ("HM2 layer"; 40) 이 있을 수 있으며, 이는 바람직하게는 TEOS 필름 같은 HM1 층을 위해 이용될 수 있는 물질로 형성될 수 있다.
다-층 필름 스택 (100) 은 개구들 (70, 71, 72) 를 포함할 수 있다. 바람직하게는, 트렌치 개구들 (70, 71) 의 패턴을 포함하는 필름 스택 (100) 의 일부를 도시하는, 도 3a 내지 3h에 도시된 바와 같이, MHM 층 (35) 및 HM2 층 (40) 은, 이후에 트렌치들을 형성하도록 트렌치 개구들 (70, 71) 을 형성하는 에칭 프로세스를 통해 앞서 패터닝되었고, 개구들 (72) 은 이후 에칭 단계들에서 비아들을 개방할 것이다. 바람직하게는, 트렌치 개구들 (70, 71) 의 패턴은 약 80 nm 또는 그 미만의 피치 (pitch) 를 가진다. 더 바람직한 실시예들에서, 트렌치 개구들 (70, 71) 은 66 nm 또는 45 nm 같은 피치들을 가질 수 있다. 패터닝된 MHM 층 (35) 은 TiN, TiSiN, TiTaN, TiON 또는 이들의 조합들 같은 티타늄 질화물 물질로 형성될 수 있다. 바람직하게는, 패터닝된 MHM 층 (35) 은 TiN으로 형성된다.
바람직하게는, MHM 층 (35) 및 HM2 층 (40) 위에 삼-층 (tri-layer) 마스크가 있다. 삼-층 마스크는 하부 유기 평탄화 층 ("OPL layer"; 45), OPL 층 (45) 위의, 실리콘 반사-방지 코팅 층 ("SiARC layer"; 50) 일 수 있는, 하부 반사방지 (antireflective) 층 (BARC) 같은 반사방지 층, 그리고 SiARC 층 (50) 위의 포토레지스트 마스크 층 ("PR layer"; 55) 을 포함할 수 있다. 다른 실시예들에서, SiARC과 상이한 반사-방지 코팅들이 활용될 수 있다. OPL 층 (45) 이 형성되는 경우, OPL 층 (45) 의 물질은 층들 (35, 40) 내의 개구들 (70, 71) 을 충진한다. PR 층 (55) 은 하부 층들이 에칭될 수 있도록 개구들 (72) 과 함께 패터닝될 수 있다. 필름 스택 (100) 의 일부를 도시하는 도 3a에 도시된 바와 같이, PR 층 (55) 은 비아 개구들 (72) 의 패턴 (도 3a에 도시된 오직 하나) 을 포함한다. 바람직하게는, 개구들 (72) 의 패턴이 하부 SiARC 층 (50) 및 OPL 층 (45) 으로 전사될 (transferred) 수 있도록, 비아 개구 (72) 각각은 트렌치 개구 (70) 와 수직으로 정렬된다. 개구들 (72) 의 패턴이 OPL 층 (45) 으로 전사되는 동안, 층들 (35, 40) 내의 트렌치 개구들 (70) 을 충진하였던 OPL 층 물질 (45) 이 타원형 개구들 (74) 을 형성하도록 제거된다. 개구들 (72) 이 트렌치 개구들 (70) 의 너비보다 더 크기 때문에, 트렌치 개구 (70) 로부터 제거된 OPL 물질은, 트렌치 개구의 너비와 동일한 교차 방향으로의 CD, 및 CD1보다 더 큰 (문서의 평면 내의 방향으로의) 트렌치를 따라는 방향으로의 CD2를 가지는 타원형 개구를 형성한다. 후속 프로세싱 단계들 동안 (아래에서 더 상세하게 설명되고 도시되는 바와 같이) PR 층 (55) 에 의해 마스킹된 개구 (71) 가 패터닝된 트렌치 및/또는 비아 홀들이 최종적으로 PLKD 층 (25) 내에 형성되는 것을 허용하면서, 필름 스택 층들 하부 개구 (72) 는 제거될 수 있다. 바람직하게는, PR 층 (55) 은 193 nm 포토레지스트이다. 대안적으로, 248 nm 포토레지스트들, 157 nm 포토레지스트들, EUV 레지스트들, 또는 전자 민감성 레지스트들이 이용될 수 있다. 예를 들어 반응성 이온 에칭 (RIE) 을 포함하는, 임의의 적절한 프로세스를 활용하여, 마스킹되지 않은 패터닝된 트렌치 및/또는 비아 홀들이 플라즈마 에칭 프로세스 (즉, 제거 프로세스) 에서 제거될 수 있다. 도 3a에 도시된 개구들 (70, 71, 72) 이 추가적인 및/또는 대안적인 패터닝을 포함할 수 있고, 및/또는 도시된 패턴이 더 큰 웨이퍼 (214) 에 걸쳐 반복될 수 있다는 점 (도 1 참조) 이 이해되어야 한다. 바람직하게는, 개구들 (70, 71, 72) 의 패턴의 배열이 웨이퍼 (214) 에 걸쳐 집적 회로들 내에서 반복된다.
도 3a에 도시된 바와 같이, PRF 층 (55) 내의 개구 (72) 는 HM2 층 (40) 및 MHM 층 (35) 내의 개구 (70) 와 정렬된다. 개구 (70) 가 개구 (72) 의 사이즈보다 넓이 면에서 더 작기 때문에, 타원형 비아 (74) 가 트렌치 개구 (70) 로부터 제거된 OPL 물질의 일부 내에 형성될 것이다. 층들 (40. 35) 내의 개구 (71) 는 층들 (45, 50, 55) 에 의해 마스킹된다. 이러한 패터닝은 트렌치-오버-비아 에칭이 수행되는 것을 허용한다. 에칭 프로세스는 먼저, 개구 (72) 내의 OPL 층 (45) 의 상부 표면을 노출시키는, SiARC 층 (50) 의 마스킹되지 않은 일부들로 PR 층 (55) 의 개구 (72) 를 전사한다 (도 3b 참조). 이후의 다음 단계에서, SiARC 층의 개구 (72) 가 OPL 층 (45) 으로 전사된다. HM2 층 (40) 의 상부 표면이 개구 (72) 내에 노출되도록, 개구 (72) 가 전사되고, 개구 (70) 가 HM1 층 (30) 의 상부 표면을 노출하도록, HM2 층 (40) 및 MHM 층 (35) 내의 개구 (70) 를 충진하는 OPL 층 물질이 제거된다 (도 3c 참조). OPL 층 (45) 의 에칭 동안, SiARC 층 (50) 의 앞서 마스킹된 일부들을 노출시키는 PR 층 (55) 이 완전하게 제거될 수 있다. 추가로, PR 층 (55) 이 완전하게 제거된 이후의 OPL 층 (45) 의 에칭 동안, 하부 SiARC 층 (50) 의 두께가 감소될 수 있다. 본질적으로, HM2 및 MHM 층들 (40, 35) 의 타원형 개구 (74) 내에서 HM1 층 (30) 이 노출될 때까지, PR 층 (55) 내의 각 개구 (72) 의 타원형 버젼이 SiARC 및 OPL 층들 (50, 45) 로 전사될 것이다. 타원형 개구 (74) 를 정의하는 HM2 및 MHM 층들 (40, 35) 의 물질은 HM1 층 (30) 내로의 에칭을 위한 마스크를 제공한다. 바람직한 실시예에서, 개구 (72) 는 약 50 nm의 직경을 가지는 원형이다. 프로세스 내의 이 시점에서, 패터닝된 MHM 층 (35) 의 노출되지 않은 코너 부분들이, 후속 에칭 단계들 내에서 노출될 것이고, 다-층 필름 스택 (100) 내의 최종적인 트렌치들 및/또는 비아들을 위한 장래의 마스크를 제공할 것이라는 점이 이해되어야 한다.
타원형 개구들 (74) 을 형성하도록 OPL 층 (45) 의 패터닝된 일부들이 개구들 (72, 72) 로부터 제거된 이후에, 다-층 필름 스택 (100) 은, 하드 마스크 개방 단계에서 타원형 개구 (74) 의 패턴이 HM1 층 (30) 으로 전사되도록 하는, 프로세싱을 경험한다. 도 3d에 도시된 바와 같이, 하드 마스크 개방 단계는 개구 (72) 내의 MHM 층 (35) 의 상부 표면을 노출시키며, 타원형 개구 (74) 내의 PLKD 층을 노출시킨다. MHM 층 (35) 은 이후의 에칭 단계들을 위한 마스크를 형성한다. 하드 마스크 개방 단계 동안, SiARC 층 (50) 은 완전하게 제거될 수 있고, 또는 대안적으로, 마스킹 SiARC 층 (50) 의 두께가 감소될 수 있다. 하드 마스크 개방 단계 이후에, 마스킹 SiARC 층의 일부가 남아 있다면, 도 3e에 도시된 바와 같이, SiARC 층 (50) 을 전적으로 제거하고, OPL 층 (45) 을 노출시키기 위한 임의의 적절한 프로세스를 활용하여 제거 프로세스가 수행될 수 있다.
도 3e는 부분적인 비아 에칭 단계가 수행된 이후의 다-층 필름 스택 (100) 을 도시한다. 부분적인 비아 에칭 단계 동안, ES1 층 (20) 이 부분적으로 에칭되어 비아를 형성하도록 ES1 층 (20) 으로 이를 때까지 (즉, 다-층 필름 스택 (100) 내의 개구 (74) 의 깊이를 증가시킬 때까지), MHM 층 (35) 의 타원형 개구들 (74) 의 패턴이 PLKD 층 (25) 으로 전사된다. 바람직하게는, 부분적인 비아 에칭 단계는 최종 비아 깊이의 약 90%의 목표 깊이까지 비아를 에칭한다. 부분적인 비아 에칭 단계 동안, 패터닝된 트렌치 및/또는 비아 홀들을 위한 초기 개구를 앞서 형성하였던, OPL 층 (45) 내의 개구 (72) 의 임계 치수 ("CD") 는 형성되는 비아 홀이 타원형이 될 수 있도록 MHM 층 (35) 내에 포함되는 트렌치 개구 (70) 의 CD 보다 더 크다. 부분적인 비아 에칭 단계 동안, 노출된 부분들에서의 MHM 층 (35) 의 두께가 감소되고, 그러나 자기-정렬된 (self-aligned) 비아를 형성하도록, PLKD 층 (25) 및 ES1 층 (20) 은 완전하게 MHM 층 (35) 을 제거함 없이 제거되어야 한다 (즉, 하부 ES1 층 (20) 및 PLKD 층 (25) 의 에칭 레이트가 MHM 층 (35) 의 에칭 레이트보다 더 커야한다). 또한, MHM 층 (35) 에 대한 페시팅 및/또는 코너 손실이 이후 비아 및/또는 트렌치 에칭, 그리고 습식 세정, 스퍼터링 또는 금속화 프로세스 같은 후속-에칭 처리들에 대한 트렌치 마진을 감소시키지 않도록, PLKD 층 (25) 의 에칭은 제어되어야 한다. MHM 층 (35) 에 대한 페시팅 및/또는 코너 손실을 제어하는 방법은 아래에서 논의된다. 부분적인 비아 에칭 이후에, 개구 (72) 가 MHM 층 (35) 의 상부 표면 내로 확장되도록, MHM 층 (35) 은 부분적으로 침식될 (eroded) 수 있다.
도 3f는 OPL 층 (45) 을 제거하는 후속 단계가 수행된 이후의 다-층 필름 스택 (100) 의 단면도를 도시한다. OPL 층 (45) 을 제거하는 것은 HM2 층 (40) 을 완전하게 노출시키고, MHM 층 (35) 및 HM2 층 (40) 내의 트렌치 개구 (71) 내의 OPL 층 물질을 제거한다.
도 3g는 트렌치 에칭 단계가 수행된 이후의 다-층 필름 스택 (100) 을 도시한다. 트렌치 에칭 단계 동안, 트렌치들 (70, 71) 이 다-층 필름 스택 (100) 의 PLKD 층 (25) 내에 형성되고, 타원형 개구 (74) 내의 노출된 ES1 층 (20) 이 제거되고, 타원형 비아 개구 (70) 가 연장된다. 트렌치들을 형성하도록, MHM 층 (35) 의 개구들 (70, 71) 의 패턴이 HM1 층 (30) 의 노출된 부분들로 전사되고, 후속적으로 트렌치 개구들 (70, 71) 의 패턴이 PLKD 층 (25) 내의 미리 결정된 깊이로 전사된다. 바람직하게는, HM1 층 (30) 및 PLKD 층 (25) 의 에칭 레이트는 ES1 층 (20) 의 에칭 레이트보다 더 크다. 추가로, 트렌치 에칭 동안, HM2 층 (40) 은 완전하게 제거될 수 있고, MHM 층 (35) 이 노출될 수 있다. MHM 층 (35) 에 대한 페시팅 및/또는 코너 손실이 이후의 라이너 제거 단계, 및 습식 세정, 스퍼터링 또는 금속화 프로세스 같은 후속-에칭 처리에 대한 트렌치 마진을 감소시키지 않도록, 트렌치 에칭 동안의 HM1 층 (30), PLKD 층 (25) 및 ES1 층 (20) 의 에칭이 제어되어야 한다. MHM 층 (35) 에 대한 페시팅 및/또는 코너 손실을 제어하는 방법은 아래에서 논의된다.
도 3h는 라이너 제거 단계가 수행된 이후의 다-층 필름 스택을 도시한다. 바람직하게는, 라이너 제거 단계는 MHM 층, HM1 층, PLKD 층, 및 ES1 층 (20) 의 타원형 개구 (74) 를 배리어 층 (15) 으로 전사하고, 이로부터 형성된 타원형 비아 홀이 최종의 미리 결정된 깊이로 형성된다.
타원형 비아 홀 (74) 이 최종의 미리 결정된 깊이로 형성된 이후에, 비아 홀은 이후에, 두 개의 전도성 패턴들의 세트들 사이의 전기적 접촉을 허용하는 전도성 물질 (예를 들어, 알루미늄 (Al), 구리 (Cu) 등) 로 충진될 수 있다. 이는 다-층 필름 스택 (100) 내의 상호접속 층들 사이의 전기적 접촉을 달성한다. 유전체 층의 표면 상의 임의의 초과 전도성 물질은 화학적 기계적 연마에 의해 제거될 수 있다.
도 3a 내지 3h, 그리고 본 명세서에 개시된 방법들을 설명하기 위해 이용되는 다양한 단계들은 오직 예시적인 것이며, 본 발명의 목적 범위를 제한하는 임의의 방식으로 구성되어서는 안 된다. 본 기술분야의 숙련자라며 본 명세서에 개시된 원리들이 임의의 형태의 적절하게 배열된 디바이스 및/또는 디바이스들로 구현될 수 있음을 이해할 것이다.
프로세싱 동안, TC-ESC는 다-층 필름 스택 (100) 같은 다-층 필름 스택을 제자리에 (in place) 홀딩하며, RF 바이어스를 다-층 필름 스택 (100) 에 인가할 수 있다. 또한, 이온 에너지, 그리고 이에 따른 증착 및/또는 에칭 레이트가 TC-ESC에 의해 제어될 수 있다. 인가되는 RF 전력은 바람직하게는 약 100 내지 2000 W 사이이고, 2 MHz, 13.56 MHz, 27 MHz, 60 MHz, 또는 90 MHz 같은, 하부 및/또는 상부 전극으로 공급되는 다양한 RF 주파수들이 바이어스된 상황을 달성하는데 이용될 수 있다. 바람직하게는, RF 에너지는 두 개의 상이한 주파수들에서 하부 전극으로, 또는 상이한 제1 및 제2 주파수들에서 상부 전극 및 하부 전극으로 공급된다. 더 바람직하게는, 60/27 MHz 플라즈마는 부분적인 비아 에칭 단계 같은 에칭 단계들을 위해 이용된다.
다-층 필름 스택 (100) 은 바람직하게는 (즉, 다-층 필름 스택을 통해 패턴을 전사하는) 일련의 에칭 단계들 내에서 프로세싱된다. 바람직하게는, 다-층 필름 스택 (100) 내의 다양한 층들 사이의 에칭 선택도를 달성하도록, 일련의 에칭 단계들 동안, 상기 일련의 에칭 단계들은 다-층 필름 스택 (100) 의 온도를 제어하기 위해 TC-ESC를 활용한다. 바람직하게는, 온도 제어 계획은, 제1 온도에서 다-층 필름 스택 (100) 의 OPL 층 (45) 및 SiARC 층 (50) 같은 마스크 층들로 패턴들을 전사할 것이다. 바람직하게는, 제1 온도는 약 60℃ 미만이다. 더 바람직하게는, 제1 온도는 약 30 내지 50℃이다. 이후에, ES1 층 (20) 내로 부분적으로 연장하는 비아 개구를 형성하도록, PLKD 층 (25) 및 ES1 층 (20) 같은 하부 유전체 층들로의, 그리고 HM1 층 (30), MHM 층 (35), 및 HM2 층 (40) 같은 하부 하드 마스크 층들로의 패턴들의 후속 전사들 동안, 다-층 필름 스택 (100) 의 온도를 제2 온도로 감소시킨다. 바람직하게는 제2 온도는 약 0 내지 20℃이다. 후속 트렌치 에칭 프로세스 동안, ES1 층 (20) 내의 비아 개구가 이를 통해 에칭되는 동안 트렌치들이 PLKD 층 (25) 내로 패터닝될 수 있도록, 다-층 필름 스택의 온도는 바람직하게는 상승된다. 바람직하게는, 제2 온도는 약 40℃이다. MHM 라운딩, 그리고 TiN 및 TiFx 에칭 부산물들 같은 Ti-기반의 잔류물의 제거를 제공하기 위해, 더 낮은 온도에서 제1 프로세스 가스를 이용하여 개구들이 에칭되고, 더 높은 온도에서 제2 프로세스 가스를 이용한 Ti-기반의 잔류물 제거 및 MHM 라운딩이 후속되도록, 로우-k 에칭이 바람직하게는 펄싱된다 (pulsed).
TC-ESC는 다-층 필름 스택 (100) 의 온도를 제어하며, 여기서 에칭 동안 온도가 증가되거나 감소될 수 있다. TC-ESC가 프로세싱 동안 다-층 필름 스택 (100) 의 온도를 조절할 수 있도록, 헬륨 후면 가스가 다-층 필름 스택 (100) 과 TC-ESC 사이에 열적 커플링을 제공하는데 이용될 수 있다. 다-층 필름 스택 (100) 을 프로세싱하는데 이용되는 온도는 바람직하게는 0 내지 120℃이다. 프로세싱 동안 다-층 필름 스택 (100) 의 온도를 조절하는 것은, 프로세싱될 물질에 따라, 에칭 동안 다-층 필름 스택 (100) 의 층들 내에 포함되는 다양한 물질들의 선택도를 증가시키거나 감소시킬 수 있다. 바람직하게는, TC-ESC는 초당 적어도 약 1℃의 레이트로 다-층 필름 스택의 온도를 증가시키거나 감소시킬 수 있는데, 예를 들어, ESC 온도는 초당 약 2℃ 또는 그 이상으로 램핑될 (ramped) 수 있다.
다-층 필름 스택 (100) 의 프로세싱 동안, 다-층 필름 스택 (100) 의 온도는 바람직하게는 다-층 필름 스택 (100) 내에 포함되는 물질들의 선택도를 증가시키거나 감소시키도록 조절된다. 예를 들어, TC-ESC의 각 열적 구역은 각 열적 구역 내에서 최적의 에칭 조건들을 달성하도록 조절될 수 있다. 도 4a는 본 명세서에 개시된 프로세싱 방법들의 상이한 단계들 동안의 TC-ESC 온도의 최적화된 그래프를 도시한다. SiARC 층 (50) 및 OPL 층 (45) 같은 마스크 층들을 개방하기 위해 수행되는 단계들 같은, 초기 프로세싱 단계들 (410) 동안, TC-ESC는 바람직하게는 다-층 필름 스택 (100) 의 온도를 약 30 내지 50℃ 사이로 유지한다. 마스크 층들이 개방된 이후에, HM1 층 (30) 은 바람직하게는 개방되고, 타원형 비아 개구 (74) 가 형성된다. 트렌치 개구 (70) 내의 HM1 층 (30) 의 노출된 부분을 개방하는 결과로서, HM2 층 (40) 의 부분들이 개구들 (72) 내의 노출된 위치들에서 제거된다. 마스킹되지 않은 위치들에서 HM2 층 (40) 의 모두를 제거하는 것은, 트렌치 패터닝된 MHM 층 (35) 의 코너들을 프로세스 가스들에 노출시킨다. HM1 층 (30) 의 개구 및 후속적인 부분적 비아 에칭의 개구 동안의 프로세스 가스들에의 노출은 MHM 층 (35) 의 페시팅 및/또는 코너 스퍼터링을 유발하고, 다-층 필름 스택 (100) 상에 잔류물을 형성할 수 있는 에칭 부산물들을 산출할 수 있다. 예를 들어, MHM 층 (35) 이 TiN으로 형성된다면, TiN 층의 에칭은 바람직하지 않은 TiFx (여기서, x < 4) 를 형성할 수 있다. 이러한 효과들을 최소화하기 위해, MHM 층 (35) 에 대한 선택도가 하드 마스크 개방 및 부분적인 비아 에칭 동안 증가되도록, 프로세싱 단계 (420) 동안, TC-ESC는 바람직하게는 다-층 필름 스택 (100) 의 온도를 감소시킨다. 바람직하게는, HM1 층 (30) 을 개방하는 동안 TC-ESC는 다-층 필름 스택 (100) 을 약 60℃ 미만의 온도로 유지하며, 더 바람직하게는, 부분적인 비아 에칭 동안 TC-ESC는 다-층 필름 스택 (100) 을 약 0℃ 내지 20℃ 사이의 온도로 유지한다. 대안적인 실시예들에서, 다-층 필름 스택 (100) 의 온도는 부분적인 비아 에칭이 수행될 때에 증가될 수 있다. 예를 들어, 부분적인 비아 에칭 단계는 약 0℃ 내지 20℃ 사이의 온도에서 시작될 수 있고, 에칭이 수행될 때에 점진적으로 증가될 수 있다. 바람직하게는, 온도의 점진적인 증가는, 부분적인 비아 에칭 동안 다-층 필름 스택 (100) 의 온도를 약 60℃ 위로 상승시키지 않을 것이다. 추가의 대안적인 실시예에서, 다-층 필름 스택 (100) 의 온도는 먼저 HM1 개방 단계의 초기 단계, 또는 부분적인 비아 에칭 동안 감소될 수 있고, 이후에 부분적인 비아 에칭이 수행될 때에 증가될 수 있다.
감소된 온도가 MHM 층 (35) 내의 코너 스퍼터링, 페시팅, 및/또는 MHM 침식 (encroachment) 을 최소화한다고 하더라도, 감소된 온도는 또한 MHM 잔류물, 예를 들어, TiFx 비휘발성 부산물들 같은 Ti 기반의 잔류물의 형성을 야기할 수 있다. 잔류물의 형성에 추가하여, 감소된 프로세싱 온도는 또한 각진 (angled) 비아 및 트렌치 프로파일들, 그리고 비아의 베이스에서의 감소된 목표 CD를 야기할 수 있다. 따라서, 다음의 프로세싱 단계 (430) 에서, MHM 층 (35) 상에 라운딩된 코너들을 제공하고, Ti-기반의 잔류물들이 제거되는 방식으로 PLKD (25) 가 에칭되도록, 프로세스 조건들이 제어된다. MHM 라운딩 동안 더 높은 온도가 되고 그리고 로우-k 에칭 동안 더 낮은 온도가 되도록 프로세싱 동안 다-층 필름 스택 (100) 의 상이한 온도들에서 로우-k 에칭 및 MHM 라운딩의 단계들을 교번적으로 수행함으로써 (alternating), 개선된 금속화를 갖는 잔류물-프리 (free) 트렌치-오버-비아 구조물을 획득하는 것이 가능하게 된다. 추가로, 비아 하부에서 또는 비아 하부 근처에서 직선화된 (straighter) 비아 프로파일을 가지는 90°에 가까운 프로파일들을 야기하는, 하나 이상의 트렌치들 및 비아 홀의 프로파일들을 직선화하는 (straighten) 것이 가능하게 되고, 다-층 필름 스택의 상이한 층들에 위치되는 트렌치들 사이에 더 양호한 전기적 접속들이 형성될 수 있다. 도 4d는 트렌치들 (70, 71) 의 실질적으로 직선인 프로파일을 가지는 거의 동일한 상부 (top) 비아 CD 및 상부 트렌치 CD, 그리고 타원형 비아들 (74) 의 하부에서의 넓은 접촉 영역 (75) 을 가지는 잔류물 프리 트렌치-오버-비아 구조물을 도시하며, 여기서 접촉 영역은 (도 4d의 왼쪽에서 오른쪽으로의) 교차 방향보다 (문서의 평면으로 연장하는) 트렌치들의 방향으로 적어도 1.5배 더 길다. 도 4e는 트렌치-오버-비아 구조물의 하향식 도면을 도시하며, 여기서 타원형 비아들 (74) 은 트렌치들 (70) 과 정렬되며, 타원형 비아들 (74) 및 트렌치들 (70) 은 거의 동일한 상부 비아 CD 및 상부 트렌치 CD를 가진다. 타원형 비아들 (74) 의 하부에서의 접촉 영역은 (도 4e의 왼쪽에서 오른쪽으로의) 교차 방향에서보다 트렌치들의 방향으로 적어도 약 1.5배 더 길고, 이에 따라 비아들 (74) 의 타원형 형상이 형성된다.
로우-k 에칭 및 MHM 라운딩 단계들을 교번적으로 수행하는 동안 프로세스 조건들이, MHM 층 (35) 의 페시팅 및 MHM 층 (35) 아래에서의 로우-k 언더커팅 (undercutting) 을 방지하도록 제어될 수 있다. 예를 들어, 로우-k 에칭이 45 내지 80℃에서 수행될 수 있고, MHM 라운딩 단계가 약 90 내지 130℃에서 수행될 수 있다. 사이클들의 수 및 타이밍이 스택 (100) 내의 물질들 및 이용되는 장비들에 따라 조절될 수 있다. 용량성 커플링된 플라즈마 (CCP) 챔버를 이용하는 경우, MHM 라운딩 시간에 대한 로우-k 시간의 비가 1:3 내지 3:1의 범위를 가지면서, 펄싱 프로세스에서의 사이클들의 수는 2 또는 5 이상 만큼일 수 있으며, 바람직하게는 2 내지 4 사이클들일 수 있다. 예를 들어, 각 로우-k 에칭은 10 내지 20초의 범위일 수 있고, 각 MHM 라운딩 단계는 20 내지 40초의 범위일 수 있다. 척 온도는 바람직하게는 초당 1℃로 또는 더 빠르게 상승되거나 하강된다. 펄싱된 프로세스의 이점은, 시간 의존성 유전체 브레이크다운 (time dependent dielectric breakdown; TDDB) 을 유발할 수 있는 CD 손실을 방지한다는 것이고, 보이드-프리 금속화를 가능하게 한다는 것이다.
로우-k 에칭은 임의의 적절한 에칭 가스 화학 물질을 이용하여 수행될 수 있다. 척을 약 60 내지 80℃로, 바람직하게는 70℃로 척을 유지하면서, 바람직한 에칭 가스는, C4F8 같은 CxFyHz (x ≥ 1, y ≥ 1, z ≥ 0) 이며, CO 같은 산소 함유 가스, N2 같은 질소 함유 가스, 및 Ar 같은 불활성 가스이다. 로우-k 에칭 동안, 폴리머 에칭 부산물들은 에칭된 비아들 및 트렌치들의 벽들을 패시베이션 한다 (passivate). 이중 주파수 CCP 에칭 챔버를 이용하는 경우, 약 300 내지 500 와트로 공급되는 60 MHz 및 27 MHz에의 RF는 40 nm 또는 그 이하의 트렌치-오버-비아 (TOV) 간격을 달성할 수 있다. 챔버 내의 진공 압력은 바람직하게는 40 내지 80 mTorr이며, 약 100 내지 200 와트로 공급되는 2 MHz RF 바이어스는 TiN에 대해 선택적이며, 트렌치 내의 TiN 증착을 방지한다. 바람직하게는, TiN 선택도는 적어도 약 5:1이다 (로우-k의 에칭 레이트/ TiN의 에칭 레이트).
MHM 라운딩 단계는 임의의 적절한 프로세스 가스를 이용하여 수행될 수 있다. 척을 약 100 내지 120℃로, 바람직하게는 약 110℃로 유지하면서, 바람직한 프로세스 가스는 산소-프리이며, CF4 같은 CxFy (x ≥ 1, y ≥ 1) 및, N2 또는 NH3 같은 질소 함유 가스를 포함한다. CCP 에칭 챔버를 이용하는 경우, 약 100 내지 300 와트로 공급되는 60 MHz RF는, 교번적인 프로세스 단계들의 로우-k 에칭 페이즈 (phase) 동안 산출되는, TiN 및 TiFx (x < 4) 잔류물 같은 Ti-기반의 잔류물을 제거하는데 효율적이다. 챔버 압력은 바람직하게는 20 내지 40 mTorr이며, 프로세스 조건들은, 약 0.5:1 내지 2:1의 로우-k 선택도 (로우-k의 에칭 레이트/ TiN의 에칭 레이트) 를 갖는 화학적 에칭을 제공하는데, 그리고 남아있는 TiN 마스크 층의 목표된 라운딩을 달성하는데 효율적이다.
도 5a는 펄싱 로우-k 에칭 및 MHM 라운딩 프로세스의 두 개의 사이클들을 도시한다. 사이클 1에서, 약 45 내지 80℃ 같은 더 낮은 온도로 TC-ESC를 유지하면서 측벽들을 패시베이션 하고, 이에 후속하여, 약 90℃ 또는 그 이상과 같은 더 높은 온도로 TC-ESC를 램핑시켜서 MHM (TiN) 의 라운딩, 및 로우-k 에칭 동안 산출되는 TiN 및 TiFx 같은 Ti-기반의 잔류물에 영향을 미치게끔 하면서, 트렌치들 및 비아들이 에칭된다. 사이클 2에서, 로우-k 및 MHM 라운딩 단계들이 반복된다.
도 5b는 분리된 MHM 라운딩 단계 없는 로우-k 에칭을 도시하며, 여기서 ("M"으로 식별되는) TiN MHM 및 ("K"으로 식별되는) 로우-k는, 후속 금속화에서 신뢰성 없는 구리 충진을 야기하는 비-최적의 형상들을 가진다.
도 5c는 비-최적의 MHM 형상들, 그러나 로우-k의 덜 현저한 (pronounced) 언더커팅을 도시한다. TiN MHM의 페시팅은 에칭 동안의 이온 편향 (deflection), 및 신뢰성 문제 및 Cu 보이드들을 야기하는 MHM 아래의 로우-k 물질의 언더커팅을 유발한다. 도 5d는 펄싱 프로세스가 어떻게 최적의 MHM 및 low-k 형상들을 산출하며, 그리고 이에 따라 최적의 금속화를 제공하는지를 도시한다.
도 5e는 연속적인 단계들, 로우-k 에칭 및 MHM 라운딩 (하나의 사이클) 의 결과를 도시한다. 단일 사이클로, TiN 제거/라운딩에 대한 역량이 입증되었다. 그러나, TEOS/로우-k 계면에의 현저한 언더커팅이 있다.
도 5f는 Cu 충진에서의 ("V"로 식별되는) 보이드들로 인한 ("T"로 식별되는) 트렌치들의 열악한 금속화를 도시하는 반면, 도 5g는 목표된 보이드-프리 Cu 금속화를 도시한다.
본 명세서에 개시된 실시예들이 본 발명의 특정 실시예들을 참고하여 상세하게 설명되었으나, 본 기술분야의 당업자들에게, 첨부된 특허청구범위들의 목적 범위로부터 벗어남 없이 다양한 변형들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있음은 자명할 것이다.

Claims (17)

  1. 플라즈마 프로세싱 장치 내에서 온도 제어된 정전 척에 의해 지지되는 다-층 필름 스택 내에 자기-정렬된 (self-aligned) 비아들 및 트렌치들을 에칭하는 방법으로서,
    상기 자기-정렬된 비아들 및 트렌치들의 에칭 동안 상기 온도 제어된 정전 척은 상기 다-층 필름 스택의 온도를 조절하며,
    상기 방법은,
    (a) 상기 척을 약 45 내지 80℃로 유지하면서 티타늄 질화물 함유 물질의 금속 하드 마스크 (MHM) 아래의 로우-k 물질을 에칭하는 단계, 및
    (b) 상기 척을 약 90 내지 130℃로 유지하면서, MHM 라운딩 및 Ti-기반의 잔류물들의 제거 단계, 및
    (a) 및 (b) 단계를 적어도 한 번 반복하는 단계의 교번적인 (alternating) 단계들을 포함하고,
    상기 반복되는 (a) 단계의 상기 로우-k 물질 및 상기 MHM은 상기 원래의 (original) (a) 단계의 상기 로우-k 물질 및 상기 메탈 하드 마스크와 동일한, 비아들 및 트렌치들을 에칭하는 방법.
  2. 제1 항에 있어서,
    상기 금속 하드 마스크는 TiN, TiSiN, TiTaN, TiON 및 이들의 조합으로 구성되는 그룹으로부터 선택된 물질로 형성되는, 비아들 및 트렌치들을 에칭하는 방법.
  3. 제1 항에 있어서,
    상기 금속 하드 마스크는 TiN인, 비아들 및 트렌치들을 에칭하는 방법.
  4. 제1 항에 있어서,
    (a) 및 (b) 단계들은 용량성 커플링 플라즈마 챔버 내에서 수행되며, (a) 단계 동안 27 및 60 MHz RF 전력이 약 300 내지 500 와트로 공급되며, CxFyHz (x ≥ 1, y ≥ 1, z ≥ 0), 산소 함유 가스 및 질소 함유 가스를 포함하는 프로세스 가스를 10 내지 30초 동안 공급하면서, 상기 챔버는 약 40 내지 80 mTorr의 진공 압력이 되며, (b) 단계 동안 60 MHz RF 전력이 약 100 내지 300 와트로 공급되며, CxFy (x ≥ 1, y ≥ 1) 및 질소 함유 가스를 포함하는 산소 프리 (free) 프로세스 가스를 10 내지 30초 동안 공급하면서, 상기 챔버는 약 20 내지 40 mTorr의 진공 압력이 되는, 비아들 및 트렌치들을 에칭하는 방법.
  5. 제1 항에 있어서,
    상기 플라즈마 프로세싱 장치는, 상부 샤워헤드 전극 및 하부 전극을 포함하는, 듀얼-주파수 매체-밀도 용량성 커플링 플라즈마 반응기를 포함하며, 그리고 (a) 단계 동안, 2 MHz RF 바이어스가 약 100 내지 200 와트로 상기 하부 전극에 공급되는, 비아들 및 트렌치들을 에칭하는 방법.
  6. 제1 항에 있어서,
    (a) 및 (b) 단계들은 1:3 내지 3:1의 (a) 단계/ (b) 단계의 시간 비율을 가지는 60초까지의 총 시간 동안 수행되는, 비아들 및 트렌치들을 에칭하는 방법.
  7. 제1 항에 있어서,
    (a) 및 (b) 단계들의 완료 시에, 상기 금속 하드 마스크의 코너들이 라운드지고 (rounded), 상기 금속 하드 마스크 아래의 로우-k 물질의 언더커팅 (undercutting) 이 방지되는, 비아들 및 트렌치들을 에칭하는 방법.
  8. 제1 항에 있어서,
    (a) 단계 동안 TiN 물질의 에칭 선택도 (selectivity) 는 적어도 5:1 (로우-k의 에칭 레이트/ TiN의 에칭 레이트) 이며, (b) 단계 동안 상기 에칭 선택도는 약 0.5:1 내지 2:1 (로우-k의 에칭 레이트/ TiN의 에칭 레이트) 인, 비아들 및 트렌치들을 에칭하는 방법.
  9. 제1 항에 있어서,
    (a) 및 (b) 단계들은 2 내지 4회 반복되는, 비아들 및 트렌치들을 에칭하는 방법.
  10. 제4 항에 있어서,
    (a) 단계 동안 상기 프로세스 가스는 C4F8, CO, N2 및 Ar이며, (b) 단계 동안 상기 산소-프리 프로세스 가스는 CF4 및 N2, 또는 CF4 및 NH3인, 비아들 및 트렌치들을 에칭하는 방법.
  11. 제1 항에 있어서,
    상기 다-층 필름 스택을 약 30 내지 50 ℃의 온도로 유지하면서, 앞서 패터닝된 포토 레지스트 마스크 아래의 평탄화 층 내로 비아 개구들을 에칭하는 단계로서, 상기 평탄화 층은, 트렌치들을 형성하도록 앞서 패터닝된 금속 하드 마스크 내의 트렌치 개구들 위에 놓이며 (overlying) 상기 트렌치 개구들을 충진하며, 상기 평탄화 층 내의 상기 비아 개구들은 상기 트렌치 개구들보다 크며, 상기 트렌치 개구들 내의 평탄화 물질이 제거되고 타원형 비아 개구들이 상기 평탄화 물질이 제거된 상기 트렌치 개구들의 영역들 내에 형성될 때까지, 상기 에칭하는 단계가 수행되는, 상기 비아 개구들을 에칭하는 단계;
    상기 다-층 필름 스택을 약 0 내지 20℃의 온도로 유지하면서, 상기 금속 하드 마스크 아래의 하드 마스크 층 내로 타원형 비아 개구들을 에칭하는 단계;
    상기 하드 마스크 층 아래의 유전체 층 내로 타원형 비아 개구들을 에칭하는 단계로서, 상기 에칭하는 단계는, 상기 하드 마스크 층 내의 타원형 비아 개구들의 패턴을 상기 유전체 층 내로 전사하고 (transferring), 상기 다-층 스택이 약 60℃ 아래의 온도로 유지되면서 상기 타원형 비아 개구들이 상기 유전체 층 아래의 에칭 스톱 층에 이를 때까지, 상기 에칭하는 단계를 계속함으로써 달성되는, 상기 타원형 비아 개구들을 에칭하는 단계;
    상기 금속 하드 마스크 내의 트렌치 개구들의 패턴을 노출하도록 상기 평탄화 층을 제거하는 (stripping) 단계;
    상기 에칭 스톱 층을 통해 상기 타원형 비아 개구들을 에칭하면서, 상기 하드 마스크 층 내의 상기 트렌치 개구들의 패턴을 상기 유전체 층 내로 전사함으로써 상기 유전체 층 내로 트렌치들을 에칭하는 단계를 더 포함하는, 비아들 및 트렌치들을 에칭하는 방법.
  12. 제11 항에 있어서,
    상기 다-층 스택이 약 40℃ 위의 온도로 유지되면서, 상기 에칭 스톱 층 아래의 유전체 배리어 층 내로 타원형 비아 개구들을 에칭하는 단계를 더 포함하는, 비아들 및 트렌치들을 에칭하는 방법.
  13. 제11 항에 있어서,
    상기 포토 레지스트 마스크는 실리콘 함유 반사-방지 코팅 (SiARC) 위에 있으며, 상기 평탄화 층은 유기 평탄화 층 (OPL) 인, 비아들 및 트렌치들을 에칭하는 방법.
  14. 제11 항에 있어서,
    상기 타원형 비아 개구들은 수직 측벽들을 가지는, 비아들 및 트렌치들을 에칭하는 방법.
  15. 제11 항에 있어서,
    실리콘 함유 반사방지 층 (SiARC) 은 상기 포토 레지스트 마스크 아래에 있으며, 상기 하드 마스크 층은 상부 및 하부 실리콘 함유 하드 마스크 층들 사이의 TiN 층을 포함하며, 상기 방법은 상기 비아 개구들을 상기 평탄화 층 내로 전사하기 이전에 상기 SiARC 내로 상기 비아 개구들을 전사하는 단계, 및 상기 유전체 층 내로 상기 타원형 비아 개구들을 전사하기 이전에 상기 하부 실리콘 함유 하드 마스크 층 내로 상기 타원형 비아 개구들을 전사하는 단계를 포함하는, 비아들 및 트렌치들을 에칭하는 방법.
  16. 제13 항에 있어서,
    상기 패터닝된 하드 마스크 층은 하부 하드 마스크 (HM1) 층, 상기 HM1 층 상부의 금속 하드 마스크 (MHM) 층, 상기 MHM 층 상부의 상부 하드 마스크 (HM2) 층을 포함하며, 상기 MHM 층 및 상기 HM2 층은 패터닝된 트렌치 개구들을 포함하며, 상기 방법은 상기 타원형 비아 개구들을 상기 HM1 층 내로 전사하는 단계를 포함하는, 비아들 및 트렌치들을 에칭하는 방법.
  17. 제11 항에 있어서,
    상기 다층 스택은 상기 유전체 층 아래의 에칭 스톱 층, 및 상기 에칭 스톱 층 아래의 배리어 층을 포함하며, 상기 방법은 상기 평탄화 층을 제거하기 이전에, 상기 타원형 비아 개구들을 상기 에칭 스톱 층 내로 전사하는 단계, 상기 유전체 층 내로 상기 트렌치 개구들을 전사하는 단계 동안 상기 타원형 비아 개구들을 상기 에칭 스톱 층을 통해 연장시키는 단계, 및 상기 타원형 비아 개구들을 상기 배리어 층 내로 후속적으로 전사하는 단계를 포함하는, 비아들 및 트렌치들을 에칭하는 방법.

KR1020140054340A 2013-05-07 2014-05-07 보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스 KR102185347B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/888,901 US8906810B2 (en) 2013-05-07 2013-05-07 Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US13/888,901 2013-05-07

Publications (2)

Publication Number Publication Date
KR20140132295A KR20140132295A (ko) 2014-11-17
KR102185347B1 true KR102185347B1 (ko) 2020-12-02

Family

ID=51852665

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140054340A KR102185347B1 (ko) 2013-05-07 2014-05-07 보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스

Country Status (4)

Country Link
US (1) US8906810B2 (ko)
KR (1) KR102185347B1 (ko)
CN (1) CN104143521B (ko)
TW (1) TWI621181B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
JP6325424B2 (ja) * 2014-11-21 2018-05-16 日本特殊陶業株式会社 静電チャック
JP6408903B2 (ja) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 エッチング処理方法及びエッチング処理装置
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
JP2017059750A (ja) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 被処理体を処理する方法
WO2017169155A1 (ja) * 2016-03-31 2017-10-05 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法並びに基板液処理プログラムを記憶したコンピュータ読み取り可能な記憶媒体
KR20180001629A (ko) * 2016-06-24 2018-01-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10211151B2 (en) * 2016-06-30 2019-02-19 International Business Machines Corporation Enhanced self-alignment of vias for asemiconductor device
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
CN109407431A (zh) * 2017-08-17 2019-03-01 京东方科技集团股份有限公司 阵列基板及其制备方法、显示面板
KR102549542B1 (ko) 2017-09-12 2023-06-29 삼성전자주식회사 금속 하드마스크 및 반도체 소자의 제조 방법
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
AT523061B1 (de) * 2019-10-16 2021-05-15 Ess Holding Gmbh Verfahren zur Oberflächenbeschichtung von Werkstücken
CN110867374B (zh) * 2019-11-25 2022-06-14 上海华力微电子有限公司 金属硬质掩模一体化刻蚀方法及其控制系统
TWI821064B (zh) * 2022-12-07 2023-11-01 國立成功大學 反應式離子蝕刻處理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110306214A1 (en) * 2010-06-11 2011-12-15 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US20130023122A1 (en) * 2011-07-20 2013-01-24 Nemani Srinivas D Method of multiple patterning of a low-k dielectric film

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037547A (en) 1997-12-03 2000-03-14 Advanced Micro Devices, Inc. Via configuration with decreased pitch and/or increased routing space
US6124201A (en) 1998-06-12 2000-09-26 Advanced Micro Devices, Inc. Method for manufacturing semiconductors with self-aligning vias
JP2001156170A (ja) 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4850332B2 (ja) 2000-10-18 2012-01-11 東京エレクトロン株式会社 デュアルダマシン構造のエッチング方法
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
KR100386622B1 (ko) 2001-06-27 2003-06-09 주식회사 하이닉스반도체 듀얼 다마신 배선 형성방법
TW567554B (en) 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
DE10228344B4 (de) 2002-06-25 2007-02-08 Infineon Technologies Ag Verfahren zur Herstellung von Mikrostrukturen sowie Anordnung von Mikrostrukturen
JP4104426B2 (ja) 2002-10-30 2008-06-18 富士通株式会社 半導体装置の製造方法
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US7253115B2 (en) 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
US7115517B2 (en) 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7361607B2 (en) 2003-06-27 2008-04-22 Lam Research Corporation Method for multi-layer resist plasma etch
US7141505B2 (en) 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7091612B2 (en) 2003-10-14 2006-08-15 Infineon Technologies Ag Dual damascene structure and method
US7078350B2 (en) 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP4516450B2 (ja) 2005-03-02 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JPWO2006100946A1 (ja) 2005-03-24 2008-09-04 パイオニア株式会社 画像信号再符号化装置及び画像信号再符号化方法
US7432194B2 (en) 2005-06-10 2008-10-07 United Microelectronics Corp. Etching method and method for forming contact opening
US7319067B2 (en) 2005-11-07 2008-01-15 United Microelectronics Corp. Method of simultaneously controlling ADI-AEI CD differences of openings having different sizes and etching process utilizing the same method
US7378343B2 (en) 2005-11-17 2008-05-27 United Microelectronics Corp. Dual damascence process utilizing teos-based silicon oxide cap layer having reduced carbon content
US7358182B2 (en) 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US7368394B2 (en) * 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US20070218681A1 (en) 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20070224827A1 (en) 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US20070232048A1 (en) 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5211503B2 (ja) 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
US8084357B2 (en) 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7935640B2 (en) 2007-08-10 2011-05-03 Tokyo Electron Limited Method for forming a damascene structure
US8158524B2 (en) 2007-09-27 2012-04-17 Lam Research Corporation Line width roughness control with arc layer open
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
US8143138B2 (en) 2008-09-29 2012-03-27 Applied Materials, Inc. Method for fabricating interconnect structures for semiconductor devices
US8252192B2 (en) 2009-03-26 2012-08-28 Tokyo Electron Limited Method of pattern etching a dielectric film while removing a mask layer
US8263492B2 (en) 2009-04-29 2012-09-11 International Business Machines Corporation Through substrate vias
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8105942B2 (en) 2010-04-20 2012-01-31 Globalfoundries Inc. CMP-first damascene process scheme
US20120064713A1 (en) 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8114769B1 (en) 2010-12-31 2012-02-14 Globalfoundries Singapore Pte, Lte. Methods and structures to enable self-aligned via etch for Cu damascene structure using trench first metal hard mask (TFMHM) scheme
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
JP2012174989A (ja) 2011-02-23 2012-09-10 Toshiba Corp 半導体装置の製造方法
JP2012209287A (ja) 2011-03-29 2012-10-25 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8513114B2 (en) 2011-04-29 2013-08-20 Renesas Electronics Corporation Method for forming a dual damascene interconnect structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110306214A1 (en) * 2010-06-11 2011-12-15 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US20130023122A1 (en) * 2011-07-20 2013-01-24 Nemani Srinivas D Method of multiple patterning of a low-k dielectric film

Also Published As

Publication number Publication date
TWI621181B (zh) 2018-04-11
KR20140132295A (ko) 2014-11-17
TW201519318A (zh) 2015-05-16
CN104143521A (zh) 2014-11-12
US8906810B2 (en) 2014-12-09
CN104143521B (zh) 2019-01-01
US20140335697A1 (en) 2014-11-13

Similar Documents

Publication Publication Date Title
KR102185347B1 (ko) 보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스
US8668835B1 (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
KR101769651B1 (ko) 금속 인터커넥트용의 절연 스택을 선택적으로 에칭하는 방법
KR101083211B1 (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
US7115517B2 (en) Method of fabricating a dual damascene interconnect structure
JP6921990B2 (ja) 超伝導体相互接続のための予洗浄および堆積の方法
TW200305948A (en) System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
WO1999033097A1 (en) Improved techniques for etching an oxide layer
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
US9390964B2 (en) Methods for fabricating dual damascene structures in low temperature dielectric materials
US20130288474A1 (en) Methods for fabricating dual damascene interconnect structures
TW202147517A (zh) 用於完全對準介層窗(fav)之導電帽的選擇性沉積
JP7038139B2 (ja) 超伝導体相互接続のための堆積方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant