TWI621181B - 用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化 - Google Patents

用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化 Download PDF

Info

Publication number
TWI621181B
TWI621181B TW103116118A TW103116118A TWI621181B TW I621181 B TWI621181 B TW I621181B TW 103116118 A TW103116118 A TW 103116118A TW 103116118 A TW103116118 A TW 103116118A TW I621181 B TWI621181 B TW I621181B
Authority
TW
Taiwan
Prior art keywords
layer
etching
multilayer film
film stack
hard mask
Prior art date
Application number
TW103116118A
Other languages
English (en)
Other versions
TW201519318A (zh
Inventor
阿南特 茵德拉坎堤
巴哈斯卡 納加希拉瓦
艾倫 詹森
善晥 崔
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201519318A publication Critical patent/TW201519318A/zh
Application granted granted Critical
Publication of TWI621181B publication Critical patent/TWI621181B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種多合一的上溝槽下穿孔(trench-over-via)蝕刻(於其中蝕刻含鈦氮化物材料之金屬硬遮罩下方的低k材料)係以下列交替步驟來進行:(a)在將夾盤溫度保持在約45至80℃時,蝕刻低k材料;及(b)在將夾盤溫度保持在約90至130℃時,將金屬硬遮罩圓化並將Ti類殘餘物移除。

Description

用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化
本發明係關於在電漿處理設備中處理半導體基板的方法,且更具體而言,係關於對含鈦氮化物(TiN)材料之金屬硬遮罩(metal hard mask,MHM)下方的低k材料進行多合一的上溝槽下穿孔(trench-over-via,TOV)蝕刻。
在基板(例如,半導體基板、或像是在平板顯示器製造中所使用的玻璃面板)的處理中,常會使用電漿。作為基板之處理(化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積、原子層沉積等等)的部分,係將基板分割為複數個晶粒或矩形區域,該等晶粒或矩形區域之各者均會成為積體電路。接著,在一系列步驟中對基板進行處理以於其上形成電性元件,在該等步驟中係選擇性地移除(蝕刻(etching))及沉積(沉積(deposition))材料。
積體電路係藉由在基板上之介電質層上形成導電圖案而依序產生。在示例性的電漿處理中,在蝕刻前基板係塗佈有硬化乳劑(即,例如光阻遮罩)的薄膜。硬化乳劑的區域接著被選擇性移除,導致下方層之部分 變得曝露。接著將基板放置於電漿處理腔室中並在基板支撐結構上,該基板支撐結構包含單極或雙極電極,並被稱為夾盤。接著使適當的蝕刻劑來源氣體(例如,C4F8、C4F6、CHF3、CH2F3、CF4、CH3F、C2F4、N2、O2、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2等等)流至腔室中,並藉由一組RF頻率來激發蝕刻劑來源氣體以形成電漿來蝕刻基板的曝露區域。藉由透過調整一組RF頻率而控制電漿中之離子能量的量,可使蝕刻製程最佳化。
在被稱為雙重鑲嵌的共基板製造方法中,係藉由填充穿孔孔洞的導電栓塞以將介電質層電連接。一般而言,開口係形成於介電質層中,接著以導電材料(例如,鋁(Al)、銅(Cu)等等)將其填滿,該導電材料允許兩組導電圖案之間的電接觸。這建立了基板上主動區之間的電接觸,例如在多層薄膜堆疊中的內連線層。在介電質層表面上的過量導電材料通常係藉由化學機械研磨(chemical mechanical polishing,CMP)來移除。
然而,對基板上之高電路密度逐步上升的需求,可能難以使用目前的電漿處理技術(其中次微米穿孔接觸窗及溝槽具有高深寬比)而達到滿足。新的低k薄膜及複合薄膜堆疊的使用造成介電質蝕刻製程與設備的一系列新挑戰。
本文中所揭露的係一種在多層薄膜堆疊中蝕刻自對準之穿孔及溝槽的方法,該多層薄膜堆疊係由電漿處理設備中的溫控靜電之夾盤所支撐,其中,在蝕刻自對準之穿孔及溝槽期間,該溫控靜電之夾盤會調節多層薄膜堆疊的溫度。該方法包含以下之交替步驟:(a)在將夾盤保持在約45至80℃時,蝕刻在含鈦氮化物材料之金屬硬遮罩(MHM)下方的低k材料; 及(b)在將夾盤保持在約90至130℃時,將MHM圓化並將Ti類殘餘物(例如TiN及TiFx(其中x<4))移除,並且重複(a)及(b)至少一次。
該金屬硬遮罩較佳係由選自於由TiN、TiSiN、TiTaN、TiON、及其組合所組成之群組的材料所形成。步驟(a)及(b)較佳係在電容耦合電漿之腔室中進行,在步驟(a)期間,27及60MHz RF功率係以約300至500瓦來供應,且該腔室係在約40至80mTorr的真空壓力下,同時供應包含CxFyHz(x1、y1、z0)、含氧氣體、及含氮氣體的製程氣體10至30秒;而在步驟(b)期間,60MHz RF功率係以約100至300瓦來供應,且該腔室係在約20至40mTorr的真空壓力下,同時供應包含CxFy(x1、y1)及含氮氣體的無氧製程氣體10至30秒。
該方法更包含以下步驟:在將該多層薄膜堆疊保持在約30至50℃的溫度時,蝕刻穿孔開口至預先圖案化之光阻遮罩下方的平坦化層中,該平坦化層係覆蓋且填充金屬硬遮罩中的溝槽開口,該金屬硬遮罩被預先圖案化以形成溝槽,在該平坦化層中的穿孔開口係大於溝槽開口,進行蝕刻直到溝槽開口中的平坦化材料被移除、且橢圓穿孔開口形成於平坦化材料被移除的溝槽開口之區域中。在後續的步驟中,在將多層薄膜堆疊保持在約0至20℃的溫度時,蝕刻橢圓穿孔開口至金屬硬遮罩下方的硬遮罩層中。接著,在將多層薄膜堆疊保持在低於約60℃的溫度時,藉由以下步驟而蝕刻橢圓穿孔開口至硬遮罩層下方的介電質層中:將硬遮罩層中的橢圓穿孔開口之圖案轉移至介電質層中、以及持續蝕刻直到橢圓穿孔開口抵達介電質層下方的蝕刻終止層。在剝離平坦化層以使金屬硬遮罩中的溝槽開口之圖案曝露後,藉由將硬遮罩層中的溝槽開口之圖案轉移至介電質層中而蝕刻溝槽至介電質層中,同時蝕刻橢圓穿孔開口穿過蝕刻終止層,其中,係在將多層薄膜堆疊保持在高於約40℃的溫度時,進行溝槽蝕刻。
200‧‧‧電容耦合電漿處理(蝕刻)系統
202‧‧‧電漿反應器
204‧‧‧電漿腔室
206、206a‧‧‧可變電源供應器
208‧‧‧(上)電極
212‧‧‧TC-ESC
214‧‧‧基板(晶圓)
216‧‧‧溫控機構
218‧‧‧(溫度)控制器
220‧‧‧電源供應器
222‧‧‧氣體供應機構
223‧‧‧氣體來源
224‧‧‧排氣歧管
226‧‧‧節流閥
261‧‧‧設備
302‧‧‧基座
304‧‧‧熱絕緣體
306‧‧‧支撐物
308‧‧‧加熱器
309‧‧‧感測器
310‧‧‧基板
312‧‧‧熱導體
314‧‧‧(加熱器)電源線
10‧‧‧氧化物層
15‧‧‧(介電質)阻擋層
20‧‧‧蝕刻終止層(ES1層)
25‧‧‧多孔低k介電質層(PLKD層)
30‧‧‧第一硬遮罩層(HM1層)
35‧‧‧金屬硬遮罩層(MHM層)
40‧‧‧第二硬遮罩層(HM2層)
45‧‧‧底部有機平坦化層(OPL層)
50‧‧‧矽抗反射塗層(SiARC層)
55‧‧‧光阻遮罩層(PR層)
70、71‧‧‧(溝槽)開口
72‧‧‧(穿孔)開口
74‧‧‧橢圓(穿孔)開口
75‧‧‧接觸區域
100‧‧‧多層薄膜堆疊
410‧‧‧初始處理步驟
420‧‧‧處理步驟
430‧‧‧處理步驟
M‧‧‧MHM
K‧‧‧低k
V‧‧‧空隙
T‧‧‧溝槽
圖1係根據本文中所揭露之實施例,用以實行該方法的電漿處理設備之方塊圖。
圖2係可用以實行本文中所揭露之處理方法的溫控靜電夾盤之方塊圖。
圖3A-H根據本文中所揭露之一實施例,顯示用以形成自對準之穿孔的製程。
圖4A顯示在本文中所描述之處理方法的不同步驟期間,溫控靜電夾盤(electrostatic chuck,ESC)溫度之最佳化曲線圖;圖4B顯示當在低於60℃的溫度下進行溝槽蝕刻時,所得到的含殘餘物之上溝槽下穿孔結構;圖4C顯示當在高於40℃的溫度下進行溝槽蝕刻時,所得到的無殘餘物之上溝槽下穿孔結構;圖4D顯示具有幾乎相等之頂部穿孔關鍵尺寸(critical dimension,CD)與頂部溝槽CD的無殘餘物之上溝槽下穿孔結構;而圖4E顯示具有幾乎相等之頂部穿孔CD與頂部溝槽CD的上溝槽下穿孔結構之俯視圖。
圖5A顯示用於脈衝製程的溫控ESC溫度的曲線圖,該脈衝製程係用以實現金屬硬遮罩(MHM)形狀控制以加強金屬化;圖5B顯示非最佳的低k(標為「K」)及MHM(標為「M」)形狀;圖5C顯示相較於圖5B,具有減少之低k底切的非最佳之MHM形狀;圖5D顯示藉由使用脈衝製程之複數個循環而達成的最佳之MHM形狀及低k輪廓;圖5E顯示一循環之連續低k蝕刻及MHM圓化製程的效果,其完全移除MHM,但會產生在低k/TEOS界面處的底切及非最佳的TOV-溝槽間距;圖5F顯示一俯視圖,其中,空隙(V) 會導致由非最佳之MHM及低k形狀所造成的劣質金屬化;而圖5G顯示一俯視圖,其中,由於最佳之MHM及低k形狀而提供了適當的金屬化。
現將參照如隨附圖式中所顯示的本發明之若干較佳實施例來詳細說明本發明。在接下來的描述中,係提出許多特定細節以提供本文中所揭露之實施例的通盤理解。然而,對於熟習本技藝者顯而易見的是,在缺少某些或全部這些特定細節的情況下仍可實行實施例。在其他情況下,並未詳細描述為人所熟知的製程步驟及/或結構,以免不必要地混淆本發明。
在穿孔及溝槽之電漿蝕刻中,在多層薄膜堆疊中的薄膜之刻面(faceting)、材料侵蝕、及/或角落濺射(通常為蝕刻輪廓)能夠藉由基板溫度來控制。為了控制基板溫度,可以將基板支撐於電漿處理系統中的溫控靜電夾盤(temperature controlled electrostatic chuck,TC-ESC)上。可藉由控制基板的溫度來增加或減少蝕刻薄膜材料的選擇性,其中,基板的溫度係由TC-ESC來增加或降低。如本文中所揭露,可實行多步驟電漿蝕刻製程同時控制基板溫度,以使刻面、材料侵蝕、及/或角落濺射減到最低,及/或提供對於介電質蝕刻中之垂直蝕刻輪廓的改善,尤其是限制金屬硬遮罩層材料中的刻面。藉由透過控制偏壓RF信號(尤其是偏壓RF信號的頻率成分)來控制離子能量,可增補此種溫度控制。基於偏壓RF信號而加強選擇性的示例性範例係揭露於共同受讓的美國專利第8,222,155號中,該件專利係藉由參照其整體內容而特此併入。
根據一實施例,實行上溝槽下穿孔(trench-over-via)蝕刻製程以提供適合金屬化的溝槽輪廓。理想上,溝槽輪廓會允許Ta/TaN阻擋層及銅晶種之沉積為保形,以便使銅在電鍍後可充分黏附於這些層。因此,所期 望的是,在金屬化期間沒有空隙形成,因為此種空隙會造成元件可靠度的問題。金屬化失敗可能是由於以下原因而發生:(1)TiN被刻面而使其具有三角形形狀,這會導致電鍍中不可靠的銅晶種沉積及隨機的銅空隙。因此,在蝕刻期間或之後(金屬化之前),需要將TiN圓化及將TiFx(x1)移除;(2)硬遮罩(hard mask,HM)(TEOS)下方的低k底切/彎曲會使空隙化變嚴重,因為在具有較差輪廓形狀的PVD製程中,增劇的視線不足會導致低k輪廓/側壁的損傷控制;及(3)在具有較小之頂部上溝槽下穿孔關鍵尺寸(trench-over-via critical dimensions,TOV CDs)的情況下,銅填充製程的餘裕會減少。改善的金屬硬遮罩(metal hard mask,MHM)之形狀控制係需要的,因為在從一技術節點至另一技術節點的過渡期間,CD的縮小是不可避免的。
在上述的三個因素中,(1)及(2)可由電漿蝕刻加以控制,亦即,TiN的圓化可在蝕刻製程期間發生,以使濕式清潔後的最終輪廓相容於整合流程中的銅填充製程。然而,TiN圓化需要在不損害溝槽及TOV之尺寸的同時完成,該溝槽及TOV之尺寸需要通過其他的電性要求及時間相依介電質崩潰(Time Dependent Dielectric Breakdown,TDDB)標準。藉由在乾式(電漿)蝕刻期間併入MHM(TiN)形狀控制及/或TiFx移除,可以實現較高的產出、較低的處理/晶圓之成本、生產價值、及與M1V0層(具有在下方的W)之相容性,俾使溝槽具有與頂部穿孔關鍵尺寸(CDs)大約相同大小的頂部CDs,同時在穿孔的底部提供橢圓接觸區域。
如本文中所使用的「橢圓」係指具有非圓形橫截面的穿孔,且較佳地,該等穿孔在平行於溝槽之縱向方向上的CDs係為在橫切於溝槽方向之方向上的CDs之大約1.5至2倍大。溝槽較佳係具有約80nm或更小的節距,且溝槽CDs較佳係為40nm或更小。橢圓穿孔可以使用具有直徑約50nm之圓 形開口的遮罩來形成。溝槽係藉由硬遮罩來定義,該硬遮罩被預先圖案化以形成具有約40nm或更小之CD的溝槽開口。由50nm之開口所形成的穿孔在橫向方向上會減小至約40nm而在縱向方向上會保持50nm之尺寸,以在硬遮罩下方形成橢圓穿孔。因此,對於80nm或更小的溝槽節距而言,可以在穿孔的底部提供較大之接觸面積。
在製造雙重鑲嵌基板方面,一般有兩種方法:先穿孔(via-first)及先溝槽(trench-first)。在先穿孔方法的一範例中,首先以光阻塗佈基板,並接著以微影方式來圖案化穿孔。接著,非等向性蝕刻會切穿多層遮罩材料、硬遮罩層,且會蝕穿基板之低介電質層,並止於正位於下方金屬層之上的蝕刻終止阻擋層。接著,將穿孔光阻層剝離,且塗佈溝槽光阻並以微影方式將其圖案化。若干光阻會留在穿孔的底部,並在溝槽蝕刻製程期間防止較低部位的穿孔被過蝕刻。第二非等向性蝕刻接著會切穿多層遮罩材料並向下蝕刻低介電質材料至所欲之深度。此蝕刻形成溝槽。接著,將光阻剝離,並以低能量蝕刻來打開在穿孔之底部的蝕刻終止阻擋層俾使下方銅不會濺射至穿孔中。如上所述,溝槽及穿孔係由導電材料(例如,鋁(Al)、銅(Cu)等等)所填充、並藉由化學機械研磨(chemical mechanical polishing,CMP)來研磨。對於微小幾何元件而言,已廣泛採用先穿孔方法,因為其可避免發生在當溝槽先於穿孔形成時的光阻聚集效應(photoresist pooling effect),然而,先穿孔方法可能容易發生光阻毒化(photoresist poisoning)。
一替代方法為先溝槽。在一範例中,係以光阻塗佈基板並施用溝槽微影圖案。非等向性乾式蝕刻接著會切穿多層遮罩、硬遮罩層,並在之後將光阻剝離。將另一光阻層塗佈於溝槽硬遮罩上,並接著以微影方式來圖案化穿孔。第二非等向性蝕刻接著會切穿硬遮罩層並向下部份蝕刻至低K材料中。此蝕刻形成部分穿孔。接著剝離光阻,俾以硬遮罩在穿孔上方進行溝槽 蝕刻。溝槽蝕刻接著會切穿硬遮罩層並向下部分蝕刻低K材料至所欲之深度。此蝕刻在停止於位在穿孔底部之最終蝕刻終止阻擋層上的同時,亦會清潔穿孔孔洞。接著,以低能量蝕刻來打開最終蝕刻終止阻擋層俾使下方銅不會濺射至穿孔中。先溝槽方法可減低光阻毒化。
圖1係根據本文中所揭露之實施例,用以實行該方法的電漿處理設備之方塊圖。圖1顯示半導體元件之處理及製造中所使用的電容耦合電漿蝕刻系統200。在替代的實施例中,可採用感應耦合電漿處理系統。電容耦合電漿處理系統200包含電漿反應器202,電漿反應器202具有在其中的電漿腔室204。可變電源供應器206係耦接於上電極208,上電極208會影響電漿腔室204內所產生的電漿。
溫控ESC(temperature controlled ESC,TC-ESC)212支撐待處理之基板214。可變電源供應器206a可耦接於TC-ESC 212,TC-ESC 212會影響電漿腔室204內所產生的電漿。此外,可將TC-ESC 212接地。根據本發明之一特定實施例,TC-ESC包含嵌入於TC-ESC內的一或更多溫控機構216,以加熱或冷卻基板214。溫度控制器218通過電源供應器220及設備261來控制TC-ESC 212的溫度,設備261可包含耦接於一或更多溫控機構216的熱交換器。一或更多溫控機構216及TC-ESC 212的特徵係於以下作進一步討論。
氣體供應機構222(例如在上游的質量流量控制器之歧管)通常係將製造過程所需的適當化學品由氣體來源223供應至電漿反應器202的內部。排氣歧管224會將氣體及一些微粒物質由電漿腔室204內移除。電漿腔室204中的壓力係藉由使用節流閥226(一般為鐘擺式)來維持。
在電漿腔室204中,基板214(例如多層薄膜堆疊)的蝕刻係藉由在真空或低壓的氛圍中,使基板214曝露於離子化氣體化合物(電漿)而完成。當製程氣體被輸送至電漿腔室204中時,蝕刻製程開始。RF功率係由可 將製程氣體離子化的可變電源供應器206、206a所供應。由電極208及TC-ESC 212所供應的RF功率會導致朝向TC-ESC 212及基板214的離子轟擊。在蝕刻製程期間,電漿會與基板214的表面發生化學反應,以將未被遮罩所覆蓋的材料移除。
圖2係根據本文中所揭露之一實施例,顯示用於控制基板310之溫度的TC-ESC 212的示意圖。控制基板溫度的方法之一示例性實施例可以在共同擁有的美國專利第6,921,724號中找到,該件專利之整體內容係併入於本文中。基座302(例如熱交換器)會支撐熱絕緣體304。支撐物306(較佳為平的)係裝設於熱絕緣體304上方。加熱器308係嵌入於支撐物306中。基板310(例如多層薄膜堆疊)係配置於支撐物306上方。熱導體312可在支撐物306與基板310之間提供密切的熱接觸。熱導體312較佳可為氣體,例如氦,且在替代實施例中可為氬。取決於氦的壓力,可使基板310與支撐物306之間的熱傳導增加或減少。
根據一實施例,基座302包含金屬材料(較佳為鋁類的冷卻板),其係透過傳統的熱交換系統(例如冷卻/加熱流體迴路)而保持在相對恆定的溫度。根據另一實施例,基座302亦可包含非金屬材料,例如硝酸鋁。然而,基座302須被冷卻至比在沒有加熱器308的標準操作中還冷的程度。例如,基座302的溫度可比基板310的期望溫度低10℃至50℃。基座302亦可提供電漿加熱用的熱匯座(thermal sink)。外部冷卻劑冷卻機(未顯示)可用以保持基座板的溫度。基座302更具有數個孔洞或空腔(未顯示),加熱器電源線314或其他供應線係配置穿過該等孔洞或空腔。此種供應線可包含加熱器、感測器、高壓靜電鉗夾的電源線。那些在本技術領域中具有通常知識者應瞭解到,供應線並不限於先前所提者。
根據一實施例,熱絕緣體304扮演在支撐物306與基座302之間重要的熱阻抗中斷部。熱絕緣體304可包含由聚合物、塑膠、或陶瓷所製成的厚室溫硫化(room temperature vulcanizing,RTV)結合黏著層。然而,熱絕緣體304的熱阻抗中斷部不能太過度,否則基板310會無法充分冷卻。舉例來說,熱絕緣體較佳係具有範圍為約0.05W/mK至約0.20W/mK的熱傳導率。在此情況下的熱絕緣體304同時扮演在支撐物306與基座302之間的熱阻抗性元件及黏接物。此外,熱絕緣體304必須使電漿與基座302之間維持適當的RF耦合。並且,熱絕緣體304必須容忍由位於該層上方及下方之不同材料及溫度所造成的顯著之熱機械剪應力。較佳地,熱絕緣體304的厚度應小於2mm。熱絕緣體304可更包含鄰接於基座302之空腔的數個空腔或穿孔(未顯示),以供容納加熱器電源線314及其他供應線之部分。在替代實施例中,熱絕緣體304可為氣體盤,或更佳地,氣體盤(未顯示)可伴隨熱絕緣體304而介於基座302與支撐物306之間。較佳地,選擇性的氣體盤可包含在內部中的流體隔離氣體區,其中,可將例如氦的氣體供應至各流體隔離氣體區,以調節其中的熱傳導性。
根據一實施例,支撐物306包含陶瓷材料。陶瓷可為非導電材料,例如氧化鋁。支撐物306的形狀較佳可包含通常用在電漿蝕刻系統中的傳統圓盤。支撐物306較佳為傳統的靜電夾盤,但替代地,可為具有用於壓持基板310之機械鉗夾的陶瓷製品。根據一實施例,支撐物306的厚度為約2mm。然而,在本技術領域中具有通常知識者應瞭解到,其它的厚度亦可以是合適的。根據另一實施例,支撐物306的結構係為「連結於基座之薄圓盤」類型,否則橫向傳導可能會過高,而使加熱器輸入會橫向擴展而導致無效的區之分離。該支撐物應允許熱量就地消散。
加熱器308可以包含至少一阻抗性元件。根據一實施例,加熱器308可嵌入於鉗夾電極平面下方的支撐物306中,並可成形為任何所期望的圖案,例如,對稱者或任意者。加熱器308亦可具有一或更多平面加熱元件。各加熱元件定義出可獨立控制的加熱區或區域。多區圖案具有一或更多平面加熱元件,其作用與對支撐物306的傳導冷卻作用相反。與各加熱區相關的感測器309可量測各加熱區的溫度,並將信號發送至控制器或電腦系統(例如圖1的控制器218),以監測及控制每一個別的平面加熱元件。舉例來說,可裝設例如紅外線發射感測器或熱電偶感測器的感測器(無論是否穿過接口)以直接由基板310讀取。亦可將感測器309裝設於支撐物306的背部上或背部內。加熱器308可由配置穿過熱絕緣體304及基座302中之開口的電源線314供電。
根據一實施例,加熱器308包含感應加熱器。根據另一實施例,加熱器308包含加熱燈,例如氪燈或石英燈。根據又另一實施例,加熱器308包含能夠冷卻或加熱的熱電模組。在具有熱電模組的情況下,基座與熱中斷部可以是選擇性的。加熱器308亦可包含阻抗性加熱元件。在本技術領域中具有通常知識者應瞭解的是,存在許多其他方式可用以加熱及冷卻支撐物306。
為了便於討論,圖3A顯示多層薄膜堆疊100的理想化橫剖面圖,其顯示在多層薄膜堆疊100中蝕刻開口70、71之重複圖案且隨即將其遮蔽後,半導體元件之一實施例的層。在接下來的討論中,可在本文中使用以討論該等層之間之空間關係的術語,例如「上方(above)」與「下方(below)」,可以但不需總是意指所涉及之層之間的直接接觸。應當注意的是,在所顯示之層上方、下方、或之間,可存有其他額外的層。再者,並非全部的所顯示之層都必須要存在,且某些或全部的所顯示之層可由其它不同層來替代。再者,術語「約(about)」及「實質上(substantially)」應理解為包含在所述值之+/-10%內的值。
基板(例如多層薄膜堆疊100)中所包含之處理材料的選擇性,可根據製程配方加以控制。舉例來說,製程配方可包含:(1)選擇製程氣體的量及/或製程氣體的成分;(2)選擇用於形成電漿的製程壓力及一或更多功率位準;(3)選擇基板溫度;及(4)選擇蝕刻時間,其中,參數(1)-(3)可隨時間進行調整。
如應理解者,圖3A中的多層薄膜堆疊100之一部分係顯示配置在用於圖案化穿孔及溝槽(用於第二金屬線/層及介於第一金屬線/層與第二金屬線/層之間之穿孔的最終製造)的初始遮罩階段。在多層薄膜堆疊100的底部,顯示有氧化物層10,氧化物層10包含例如SiO2。例如多層薄膜堆疊100的基板可更包含各種介電質層及金屬層(未顯示),例如可包含於氧化物層10中的鋁或銅之導體線。氧化物層10上方係設有介電質阻擋層15(例如,可由Applied Materials,Santa Clara,California取得的BLoKTM),介電質阻擋層15較佳係為具有約4.3至5之介電常數的氮化物、碳化物、或碳氮化物,例如SiN、SiC、SiCN、或SiCHN。在阻擋層15上方係為蝕刻終止層20(「ES1層」),蝕刻終止層20可由SiN、SiC、磷矽酸鹽玻璃(phosphosilicate glass,PSG)等等所組成。ES1層20較佳係具有比阻擋層15及設置於ES1層20上方之多孔低k介電質層(「PLKD層」)25還高的介電常數(即,k>5)。ES1層20較佳可具有約5至9的介電常數。PLKD層25較佳係包含超低k材料(例如SiOC等等),其中PLKD層25具有約1至4.3的介電常數,且更佳為約1.8至3。位於PLKD層25上方者係為第一硬遮罩層(「HM1層」)30,其可為氧化矽薄膜(例如矽酸四乙酯(「TEOS」)系的薄膜)、二氧化矽、氮化矽、碳化矽、SiOC、SiON、SiCN、及其組合。在HM1層30上方可設置金屬硬遮罩層(「MHM層」)35。在MHM層35上方為第二硬遮罩層(「HM2層」)40,HM2層40較佳係由可用於HM1層的材料(例如TEOS薄膜)所形成。
多層薄膜堆疊100可包含開口70、71、72。較佳地,MHM層35及HM2層40已透過形成溝槽開口70、71的蝕刻製程而預先被圖案化,以在之後形成溝槽,而開口72將在之後的蝕刻步驟中打開穿孔,如圖3A-H中所示,圖3A-H顯示包含溝槽開口70、71之圖案的薄膜堆疊100之一部分。較佳地,溝槽開口70、71的圖案具有約80nm或更小的節距。在更佳實施例中,溝槽開口70、71可具有例如66nm或45nm的節距。經圖案化之MHM層35可由像是TiN、TiSiN、TiTaN、TiON、或其組合的鈦氮化物材料所形成。較佳地,經圖案化之MHM層35係由TiN所形成。
在MHM層35及HM2層40上方較佳係為三層式遮罩。三層式遮罩可包含:底部有機平坦化層(「OPL層」)45;例如為底部抗反射塗層(bottom antireflective coating,BARC)的抗反射層,其可為在OPL層45上方的矽抗反射塗層(「SiARC層」)50;及在SiARC層50上方的光阻遮罩層(「PR層」)55。在其它實施例中,可使用除SiARC之外的抗反射層。當OPL層45形成時,OPL層45的材料會填充層35、40中的開口70、71。可以開口72來圖案化PR層55,俾使下方層可被蝕刻。如顯示出薄膜堆疊100之一部分的圖3A中所示,PR層55包含穿孔開口72之圖案(僅其之一者顯示於圖3A中)。較佳地,各穿孔開口72係與溝槽開口70垂直對準,俾使開口72的圖案可轉移至下方的SiARC層50及OPL層45。當開口72的圖案轉移至OPL層45時,將填充於層35、40中之溝槽開口70之圖案的OPL層45之材料移除,以形成橢圓開口74。由於開口72比溝槽開口70的寬度還要大,因此由溝槽開口70移除OPL材料會形成橢圓開口,該橢圓開口在橫向方向上具有等於溝槽開口之寬度的CD1,並在沿溝槽方向上(在進入紙面的方向上)具有大於CD1的CD2。可移除開口72下方的薄膜堆疊層,同時使開口71保持被PR層55所遮蔽,以允許經圖案化之溝槽及/或穿孔孔洞(如以下進一步所述及所示)在後續處理步驟期間最終形 成於PLKD層25內。較佳地,PR層55係為193nm的光阻。或者,可使用248nm的光阻、157nm的光阻、極紫外線(extreme ultraviolet,EUV)抗蝕劑、或電子敏感抗蝕劑。未遮蔽的經圖案化之溝槽及/或穿孔孔洞可在電漿蝕刻製程(即,移除製程)中利用任何適當的製程來移除,包含例如反應離子蝕刻(reactive ion etching,RIE)。應當理解的是:圖3A中所示的開口70、71、72可包含額外的及/或替代的圖案;及/或所示之圖案可重複而遍及較大的晶圓214(參見圖1)各處。較佳地,開口70、71、72之圖案的排列係在積體電路中重複而遍及晶圓214各處。
如圖3A中所示,PR層55中的開口72係與HM2層40及MHM層35中的開口70對準。由於開口70在寬度上係小於開口72的尺寸,因此在從溝槽開口70移除的OPL材料之部分中會形成橢圓穿孔74。在層40、35中的開口71係被層45、50、55所遮蔽。此種圖案化可允許上溝槽下穿孔蝕刻的進行。此蝕刻製程首先將PR層55的開口72轉移至SiARC層50的未遮蔽部分(參見圖3B),使開口72內的OPL層45之上表面曝露。接著,在下一步驟中,將SiARC層的開口72轉移至OPL層45。將開口72轉移,俾使HM2層40的上表面曝露在開口72內;並將填充MHM層35及HM2層40中之開口70的OPL層材料移除,俾使開口70使HM1層30的上表面曝露(參照圖3C)。在OPL層45的蝕刻期間,PR層55可被完全移除而使SiARC層50的先前遮蔽部分曝露。此外,在PR層55被完全移除後的OPL層45之蝕刻期間,下方的SiARC層50的厚度可能會減少。本質上,PR層55中每一開口72的橢圓變型會被轉移至SiARC層及OPL層50、45,直到HM1層30曝露在HM2層與MHM層40、35的橢圓開口74中。定義出橢圓開口74的HM2層與MHM層40、35之材料,可提供用於蝕刻至HM1層30中的遮罩。在一較佳實施例中,開口72為具有約50nm直徑的圓形。在製程中的此時間點,應當理解的是,經圖案化之MHM層35之未曝露的角落部分 會在後續的蝕刻步驟中變得曝露,並會提供在多層薄膜堆疊100中最終溝槽及/或穿孔用的未來遮罩。
在已將OPL層45的經圖案化部分由開口72、70移除以形成橢圓開口74後,於硬遮罩打開步驟中,多層薄膜堆疊100會受到處理,俾使橢圓開口74的圖案轉移至HM1層30。該硬遮罩打開步驟會使MHM層35之上表面曝露在開口72內,並使PLKD層25曝露在橢圓開口74內,如圖3D中所示。MHM層35會形成之後蝕刻步驟用的遮罩。在該硬遮罩打開步驟期間,SiARC層50可能會被完全移除,或者,遮蔽SiARC層50的厚度可能會減少。在該硬遮罩打開步驟後,若遮蔽SiARC層之一部分殘留,則可進行移除製程,該移除製程係利用任何適當的處理以將SiARC層50完全移除並使OPL層45曝露,如圖3E中所示。
圖3E顯示已執行部分穿孔蝕刻步驟後的多層薄膜堆疊100。在部分穿孔蝕刻步驟期間,MHM層35之橢圓開口74的圖案係轉移至PLKD層25直到抵達ES1層20,俾使ES1層20被部分蝕刻而形成穿孔(即,增加多層薄膜堆疊100中之開口74的深度)。較佳地,部分穿孔蝕刻步驟會將穿孔蝕刻至最終穿孔深度之約90%的目標深度。在部分穿孔蝕刻步驟期間,OPL層45(其先前形成用於經圖案化之溝槽及/或穿孔孔洞的初始開口)中之開口72的關鍵尺寸(critical dimension,「CD」)比MHM層35中所包含之溝槽開口70的CD還大,俾使穿孔孔洞在形成時可為橢圓形。在部分穿孔蝕刻步驟期間,在曝露部分處之MHM層35的厚度可能會減少,然而,為了形成自對準之穿孔,必須在未完全移除MHM層35的情況下將PLKD層25及ES1層20完全移除(即,PLKD層25及下方之ES1層20的蝕刻速率必須大於MHM層35的蝕刻速率)。此外,應控制PLKD層25的蝕刻,俾使MHM層35的刻面及/或角落損失不會減低溝槽餘裕,該溝槽餘裕係用於之後的穿孔及/或溝槽蝕刻及例如濕式 清潔、濺射、或金屬化製程的蝕刻後處理。控制MHM層35的刻面及/或角落損失的方法係於以下討論。在部分穿孔蝕刻後,MHM層35可被部分侵蝕,從而使開口72延伸至MHM層35的上表面中。
圖3F顯示在已執行剝離OPL層45之後續步驟後,多層薄膜堆疊100之橫剖面圖。剝離OPL層45會使HM2層40完全曝露,並會將MHM層35及HM2層40中之溝槽開口71內的OPL層材料移除。
圖3G顯示在已執行溝槽蝕刻步驟後之多層薄膜堆疊100。在該溝槽蝕刻步驟期間,係使溝槽70、71形成於多層薄膜堆疊100的PLKD層25中,並將曝露在橢圓開口74內的ES1層20移除而使橢圓穿孔開口74延伸。為了形成溝槽,係將MHM層35之開口70、71的圖案轉移至HM1層30的曝露部分,且隨後將溝槽開口70、71的圖案轉移至PLKD層25中的預定深度。較佳地,HM1層30及PLKD層25的蝕刻速率係大於ES1層20的蝕刻速率。此外,在該溝槽蝕刻期間,HM2層40可能會被完全移除而使MHM層35曝露。在該溝槽蝕刻期間,應控制HM1層30、PLKD層25、及ES1層20的蝕刻,俾使MHM層35的刻面及/或角落損失不會減低溝槽餘裕,該溝槽餘裕係用於之後的內襯移除步驟及例如濕式清潔、濺射、或金屬化製程的蝕刻後處理。控制MHM層35的刻面及/或角落損失的方法係於以下討論。
圖3H顯示已執行內襯移除步驟後的多層薄膜堆疊。該內襯移除步驟較佳係將MHM層、HM1層、PLKD層、及ES1層20的橢圓開口74轉移至阻擋層15,而從橢圓開口74形成的橢圓穿孔孔洞可形成至最終預定深度。
在已使橢圓穿孔孔洞74形成至最終預定深度後,可接著以導電材料(例如,鋁(Al)、銅(Cu)等等)來填充該穿孔孔洞,該導電材料允許兩組導電圖案之間的電接觸。這建立了多層薄膜堆疊100中的內連線層之間 的電接觸。在介電質層之表面上的任何過量導電材料可藉由化學機械研磨來移除。
圖3A-H及用以描述本文中所揭露之方法的各種步驟係僅藉由示例之方式,且不應以任何方式解釋為限制其範圍。那些熟習本技藝者應當瞭解,本文中所描述的原理可藉由任何類型之經適當配置的裝置及/或多個裝置來實施。
在處理期間,TC-ESC係將多層薄膜堆疊(例如多層薄膜堆疊100)固持於特定位置中,並可施加RF偏壓至多層薄膜堆疊100。離子能量能夠藉由TC-ESC來控制,且因此沉積及/或蝕刻速率亦然。所施加之RF功率較佳係介於約100及2000W之間,並可以使用供應至底部電極及/或上電極的各種RF頻率以達成偏壓條件,例如2MHz、13.56MHz、27MHz、60MHz、或90MHz。較佳地,係將RF能量以二不同頻率供應至底部電極、或以不同的第一及第二頻率供應至上電極與底部電極。更佳地,係將60/27MHz電漿用於蝕刻步驟,例如部分穿孔蝕刻步驟。
多層薄膜堆疊100較佳係以一系列蝕刻步驟(即,將圖案轉移通過多層薄膜堆疊)來進行處裡。較佳地,在該系列蝕刻步驟期間,該系列蝕刻步驟係利用TC-ESC來控制多層薄膜堆疊100的溫度,以達到多層薄膜堆疊100中各種層之間的蝕刻選擇性。較佳地,溫度控制方案會在第一溫度下將圖案轉移至遮罩層,例如多層薄膜堆疊100的SiARC層50與OPL層45。較佳地,該第一溫度係小於約60℃。更佳地,該第一溫度為約30至50℃。接著,在後續將圖案轉移至下方之硬遮罩層(例如HM1層30、MHM層35、及HM2層40)以及下方之介電質層(例如PLKD層25及ES1層20)的期間,使多層薄膜堆疊100的溫度降低至第二溫度,以形成部分延伸至ES1層20中的穿孔開口。較佳地,該第二溫度為約0至20℃。接著,在後續溝槽蝕刻製程期間,較 佳係使多層薄膜堆疊的溫度升高至第三溫度,從而在PLKD層25中圖案化溝槽,同時使ES1層20中的穿孔開口由該處蝕穿。較佳地,該第三溫度係高於約40℃。為了提供MHM圓化及Ti類殘餘物(例如TiN及TiFx蝕刻副產物)的移除,低k蝕刻較佳係為脈衝式,俾將開口在較低溫度下以第一製程氣體蝕刻,接著在較高溫度下以第二製程氣體將MHM圓化並移除Ti類殘餘物。
TC-ESC可控制多層薄膜堆疊100的溫度,其中,在蝕刻期間,可以使溫度增加或降低。氦背側氣體可用以提供介於多層薄膜堆疊100與TC-ESC之間的熱耦合,俾使TC-ESC可在處理期間調節多層薄膜堆疊100的溫度。用以處理多層薄膜堆疊100的溫度較佳係介於約0及120℃之間。在處理期間調節多層薄膜堆疊100的溫度,可使蝕刻期間多層薄膜堆疊100之層中所包含的各種材料之選擇性增加或降低,此乃取決於受處理的材料。較佳地,TC-ESC能以每秒至少約1℃的速率來增加或減少多層薄膜堆疊的溫度,例如,ESC的溫度能以每秒約2℃或更高來傾斜改變。
在多層薄膜堆疊100的處理期間,較佳係調節多層薄膜堆疊100的溫度,以使多層薄膜堆疊100內所包含之材料的選擇性增加或降低。舉例來說,可調節TC-ESC的各熱區,以在各熱區中達到最佳的蝕刻條件。圖4A顯示在本文中所描述之處理方法的不同步驟期間,TC-ESC溫度的最佳化曲線圖。在像是被執行以打開遮罩層(例如SiARC層及OPL層45)的初始處理步驟410期間,TC-ESC較佳係將多層薄膜堆疊100的溫度保持在約30℃至50℃之間。在打開遮罩層後,較佳係將HM1層30打開以形成橢圓穿孔開口74。由於將溝槽開口70內之HM1層30的曝露部分打開之故,在開口72內之曝露位置的HM2層40之部分被移除。移除在未遮蔽位置的所有HM2層40會使經溝槽圖案化之MHM層35的角落曝露於製程氣體。在打開HM1層30及後續的部分穿孔蝕刻期間,對製程氣體的曝露可能會導致MHM層35的刻面及/或角落濺射以及 產生蝕刻副產物,該蝕刻副產物可能會在多層薄膜堆疊100上形成殘餘物。舉例來說,若MHM層35係由TiN所形成,則TiN層的蝕刻會形成不樂見的TiFx(其中x<4)。為了使這些效應減至最小,在處理步驟420期間,TC-ESC較佳係使多層薄膜堆疊100的溫度降低,俾以在硬遮罩打開及部分穿孔蝕刻的期間提高MHM層35的選擇性。較佳地,在打開HM1層30時,於部分穿孔蝕刻期間,TC-ESC係將多層薄膜堆疊100保持在低於約60℃的溫度,且更佳地,TC-ESC係將多層薄膜堆疊100保持在介於約0℃至20℃之間的溫度。在替代實施例中,隨著部分穿孔蝕刻進行,可提高多層薄膜堆疊100的溫度。舉例來說,部分穿孔蝕刻步驟可在約0℃至20℃之間的溫度下開始,並可隨著蝕刻進行而逐漸增加。較佳地,在部分穿孔蝕刻期間,溫度的逐漸增加並不會使多層薄膜堆疊100的溫度升高超過約60℃。在又一替代實施例中,於HM1打開步驟或部分穿孔蝕刻的初始階段期間,可使多層薄膜堆疊100的溫度先降低而接著隨著部分穿孔蝕刻進行而增加。
雖然降低的溫度會使MHM層35中的刻面、MHM侵蝕、及/或角落濺射減到最小,但降低的溫度亦可能會導致MHM殘餘物的形成,例如Ti類殘餘物(像是TiFx非揮發性副產物)。除了殘餘物的形成外,降低的處理溫度亦可能會造成傾斜的穿孔與溝槽輪廓、以及在穿孔底部的減少之目標CD。因此,在接下來的處理步驟430中,係控制製程條件俾使PLKD 25以可在MHM層35上提供圓化角落的方式進行蝕刻,並移除Ti類殘餘物。藉由在處理期間、於不同的多層薄膜堆疊100之溫度(在低k蝕刻期間係在較低溫度下、而在MHM圓化期間係在較高溫度下)下交替進行低k蝕刻及MHM圓化的步驟,獲得具有改善之金屬化的無殘餘物之上溝槽下穿孔結構係可能的。此外,使一或更多溝槽及穿孔孔洞的輪廓變直係可能的,使輪廓接近90°而在穿孔底部或其附近具有較直的穿孔輪廓,可在位於多層薄膜堆疊之不同層中的溝槽 之間形成較佳的電連接。圖4D顯示無殘餘物之上溝槽下穿孔結構,該上溝槽下穿孔結構具有實質上筆直的溝槽70、71之輪廓、幾乎相等的頂部穿孔CD與頂部溝槽CD、及在橢圓穿孔74之底部的較大之接觸區域75,其中,該接觸區域在溝槽方向上(延伸進入紙面中)至少為在橫向方向上(在圖4D中由左至右)之1.5倍長。圖4E顯示上溝槽下穿孔結構的俯視圖,其中,橢圓穿孔74係與溝槽70對準,且橢圓穿孔74與溝槽70具有幾乎相等的頂部穿孔CD及頂部溝槽CD。在橢圓穿孔74之底部的接觸區域在溝槽的方向上至少為在橫向方向上(在圖4E中由左至右)之1.5倍長,因此形成穿孔74的橢圓形狀。
在交替進行低k蝕刻及MHM圓化的步驟期間,可以控制製程條件,以避免將MHM層35刻面及將MHM層35下方的低k底切。例如,可以在45至80℃下實行低k蝕刻,而可以在約90至130℃下實行MHM圓化步驟。循環的次數及時序可以根據所使用的設備及堆疊100中的材料而調整。當使用電容耦合電漿(capacitively coupled plasma,CCP)腔室時,在脈衝製程中,循環的次數可以少至2或超過5,較佳為2至4循環,其中低k時間對MHM圓化時間之比的範圍為由1:3至3:1。舉例來說,各低k蝕刻之範圍可為由10至20秒,而各MHM圓化步驟之範圍可為由20至40秒。夾盤溫度較佳係以1℃/秒或更快來斜升(ramped up)或斜降(ramped down)。脈衝製程的優點是避免了CD損失並實現無空隙金屬化,CD損失可能引起時間相依介電質崩潰(time dependent dielectric breakdown,TDDB)。
低k蝕刻可藉由任何適當的蝕刻氣體化學作用來實行。在將夾盤保持在約60至80℃、較佳為約70℃時,較佳的蝕刻氣體為CxFyHz(x1、y1、z0)(例如C4F8)、含氧氣體(例如CO)、含氮氣體(例如N2)、及惰性氣體(例如Ar)。在低k蝕刻期間,聚合物蝕刻副產物會鈍化所蝕刻之穿孔及溝槽的壁。當使用雙頻率CCP蝕刻腔室時,在60MHz及27MHz下以約 300至500瓦所供應的RF,可以達成40nm或更小的上溝槽下穿孔(trench-over-via,TOV)間距。腔室中的真空壓力較佳為40至80mTorr,且以約100至200瓦所供應的2MHz RF偏壓對TiN係具選擇性的,並可避免TiN沉積在溝槽中。較佳地,TiN的選擇性至少為約5:1(低k的蝕刻速率/TiN的蝕刻速率)。
MHM圓化步驟可藉由任何適當的製程氣體來實行。在將夾盤保持在約100至120℃、較佳為約110℃時,較佳的製程氣體係無氧的,且包含CxFy(x1、y1)(例如CF4)、及含氮氣體(例如N2或NH3)。當使用CCP蝕刻腔室時,以約100至300瓦所供應的60MHz RF能有效移除Ti類殘餘物,例如在交替製程步驟的低k蝕刻階段期間所產生的TiFx(x<4)及TiN殘餘物。腔室壓力較佳為20至40mTorr,且製程條件能有效地提供具有約0.5:1至2:1(低k的蝕刻速率/TiN的蝕刻速率)之低k選擇性的化學蝕刻,並達成殘留之TiN遮罩層的所期望之圓化。
圖5A顯示脈衝的低k蝕刻及MHM圓化製程的兩個循環。在循環1中,在將TC-ESC保持在較低的溫度(例如約45至80℃)時,蝕刻溝槽及穿孔同時鈍化側壁,接著使TC-ESC斜增至較高的溫度(例如約90℃或更高),以有效地將MHM(TiN)圓化並移除Ti類殘餘物(例如在低k蝕刻期間所產生的TiFx及TiN)。在循環2中,係重複低k及MHM圓化步驟。
圖5B顯示無個別之MHM圓化步驟的低k蝕刻,其中,TiN MHM(以「M」所標識)及低k(以「K」所標識)具有非最佳之形狀,其會導致在後續之金屬化中不可靠的銅填充。
圖5C顯示非最佳之MHM形狀,但較不明顯的低k之底切。TiN MHM的刻面會導致蝕刻期間的離子偏折、及在MHM下方之低k材料的底切, 該底切會導致銅空隙及可靠度問題。圖5D顯示脈衝製程可以產生最佳的MHM及低k形狀,並從而提供最佳之金屬化。
圖5E顯示連續步驟之低k蝕刻及MHM圓化(一循環)的結果。在單一循環的情況下,展現出將TiN移除/圓化的能力。然而,在TEOS/低k界面處有明顯的底切。
圖5F顯示出由於銅填充中之空隙(以「V」所標識)所導致的溝槽(以「T」所標識)之劣質金屬化,而圖5G顯示理想的無空隙銅金屬化。
雖然本文中所揭露之實施例已藉由參照其特定實施例而詳加描述,但對於那些熟習本技藝者顯而易見的是,在未離開所附申請專利範圍之範疇的情況下,可以進行各種變化及修改、並採用均等者。

Claims (17)

  1. 一種在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,該多層薄膜堆疊係由一電漿處理設備中的溫控靜電之夾盤所支撐,其中,在蝕刻該等自對準之穿孔及溝槽期間,該溫控靜電之夾盤調節該多層薄膜堆疊的溫度,該方法包含以下之交替步驟:(a)在將該夾盤保持在約45至80℃時,蝕刻在含鈦氮化物材料之金屬硬遮罩(metal hard mask, MHM)下方的低k材料;及(b)在將該夾盤保持在約90至130℃時,將MHM圓化並將Ti類殘餘物移除,並且重複(a)及(b)至少一次。
  2. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該金屬硬遮罩較佳係由選自於由TiN、TiSiN、TiTaN、TiON、及其組合所組成之群組的材料所形成。
  3. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該金屬硬遮罩層為TiN。
  4. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,步驟(a)及(b)較佳係在一電容耦合電漿之腔室中進行,在步驟(a)期間,27及60 MHz RF功率係以約300至500瓦來供應,且該腔室係在約40至80 mTorr的真空壓力下,同時供應包含Cx Fy Hz (x ≥ 1、y ≥ 1、z ≥ 0)、含氧氣體、及含氮氣體的製程氣體10至30秒;而在步驟(b)期間,60 MHz RF功率係以約100至300瓦來供應,且該腔室係在約20至40 mTorr的真空壓力下,同時供應包含Cx Fy (x ≥ 1、y ≥ 1)及含氮氣體的無氧製程氣體10至30秒。
  5. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該電漿處理設備包含一雙頻率中密度之電容耦合電漿反應器,該電容耦合電漿反應器包含一上噴淋頭電極及一底部電極,且在步驟(a)期間,2 MHz RF偏壓係以約100至200瓦而供應至該底部電極。
  6. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,實行步驟(a)及(b)長達60秒的總時間,而步驟(a)/步驟(b)的時間比為1:3至3:1。
  7. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,在完成步驟(a)及(b)後,該金屬硬遮罩的角落被圓化,且避免在該金屬硬遮罩下方的低k材料之底切。
  8. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,在步驟(a)期間,TiN材料的蝕刻選擇性為至少5:1(低k的蝕刻速率/TiN的蝕刻速率),而在步驟(b)期間,該蝕刻選擇性為約0.5:1至2:1(低k的蝕刻速率/TiN的蝕刻速率)。
  9. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,步驟(a)及(b)係重複二至四次。
  10. 如申請專利範圍第4項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,在步驟(a)期間,該製程氣體係為C4 F8 、CO、N2 、及Ar,而在步驟(b)期間,該無氧製程氣體係為CF4 與N2 或CF4 與NH3
  11. 如申請專利範圍第1項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,更包含以下步驟: 在將該多層薄膜堆疊保持在約30至50℃的溫度時,蝕刻多個穿孔開口至一預先圖案化之光阻遮罩下方的平坦化層中,該平坦化層係覆蓋且填充該金屬硬遮罩中的多個溝槽開口,該金屬硬遮罩被預先圖案化以形成溝槽,該平坦化層中的該等穿孔開口係大於該等溝槽開口,進行蝕刻直到該等溝槽開口中的平坦化材料被移除、且多個橢圓穿孔開口形成於該平坦化材料被移除的該等溝槽開口之區域中; 在將該多層薄膜堆疊保持在約0至20℃的溫度時,蝕刻該等橢圓穿孔開口至該金屬硬遮罩下方的硬遮罩層中; 在將該多層薄膜堆疊保持在低於約60℃的溫度時,藉由以下步驟而蝕刻該等橢圓穿孔開口至該硬遮罩層下方的介電質層中:將該硬遮罩層中的該等橢圓穿孔開口之圖案轉移至該介電質層中;以及持續蝕刻直到該等橢圓穿孔開口抵達該介電質層下方的蝕刻終止層; 剝離該平坦化層以使該金屬硬遮罩中的溝槽開口之圖案曝露; 藉由將該硬遮罩層中的溝槽開口之圖案轉移至該介電質層中而蝕刻溝槽至該介電質層中,同時蝕刻該等橢圓穿孔開口穿過該蝕刻終止層。
  12. 如申請專利範圍第11項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,更包含以下步驟:在將該多層薄膜堆疊保持在高於約40℃的溫度時,蝕刻該等橢圓穿孔開口至位於該蝕刻終止層下方的介電質阻擋層中。
  13. 如申請專利範圍第11項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該光阻遮罩係位於一含矽抗反射層(silicon containing anti-reflective coating, SiARC)上方,且該平坦化層係為一有機平坦化層(organic planarization layer, OPL)。
  14. 如申請專利範圍第11項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該等橢圓穿孔開口具有垂直側壁。
  15. 如申請專利範圍第11項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,一含矽抗反射層(silicon containing anti-reflective coating, SiARC)係位於該光阻遮罩下方,且該硬遮罩層包含介於上與下含矽硬遮罩層之間的TiN層,該方法包含以下步驟:在將該等穿孔開口轉移至該平坦化層中之前,將該等穿孔開口轉移至該SiARC中;及在將該等橢圓穿孔開口轉移至該介電質層中之前,將該等橢圓穿孔開口轉移至該下含矽硬遮罩層中。
  16. 如申請專利範圍第13項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該圖案化之硬遮罩層包含:下硬遮罩(HM1)層、在該HM1層上方的金屬硬遮罩(MHM)層、及在該MHM層上方的上硬遮罩(HM2)層,該MHM層及該HM2層包含該圖案化之溝槽開口;該方法包含以下步驟:將該等橢圓穿孔開口轉移至該HM1層中。
  17. 如申請專利範圍第11項之在多層薄膜堆疊中蝕刻自對準之多個穿孔及溝槽的方法,其中,該多層薄膜堆疊包含在該介電質層下方的蝕刻終止層及在該蝕刻終止層下方的阻擋層;該方法包含以下步驟:在剝離該平坦化層之前將該等橢圓穿孔開口轉移至該蝕刻終止層中、在將該等溝槽開口轉移至該介電質層中的步驟期間使該等橢圓穿孔開口延伸穿過該蝕刻終止層、及隨後將該等橢圓穿孔開口轉移至該阻擋層中。
TW103116118A 2013-05-07 2014-05-06 用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化 TWI621181B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/888,901 2013-05-07
US13/888,901 US8906810B2 (en) 2013-05-07 2013-05-07 Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization

Publications (2)

Publication Number Publication Date
TW201519318A TW201519318A (zh) 2015-05-16
TWI621181B true TWI621181B (zh) 2018-04-11

Family

ID=51852665

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103116118A TWI621181B (zh) 2013-05-07 2014-05-06 用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化

Country Status (4)

Country Link
US (1) US8906810B2 (zh)
KR (1) KR102185347B1 (zh)
CN (1) CN104143521B (zh)
TW (1) TWI621181B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
JP6325424B2 (ja) * 2014-11-21 2018-05-16 日本特殊陶業株式会社 静電チャック
JP6408903B2 (ja) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 エッチング処理方法及びエッチング処理装置
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
JP2017059750A (ja) * 2015-09-18 2017-03-23 東京エレクトロン株式会社 被処理体を処理する方法
KR102530228B1 (ko) * 2016-03-31 2023-05-08 도쿄엘렉트론가부시키가이샤 기판 액처리 장치 및 기판 액처리 방법, 및 기판 액처리 프로그램을 기억한 컴퓨터 판독 가능한 기억 매체
KR20180001629A (ko) * 2016-06-24 2018-01-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10211151B2 (en) * 2016-06-30 2019-02-19 International Business Machines Corporation Enhanced self-alignment of vias for asemiconductor device
JP2018046185A (ja) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
CN109407431A (zh) * 2017-08-17 2019-03-01 京东方科技集团股份有限公司 阵列基板及其制备方法、显示面板
KR102549542B1 (ko) 2017-09-12 2023-06-29 삼성전자주식회사 금속 하드마스크 및 반도체 소자의 제조 방법
US10522394B2 (en) * 2017-09-25 2019-12-31 Marvell World Trade Ltd. Method of creating aligned vias in ultra-high density integrated circuits
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
AT523061B1 (de) * 2019-10-16 2021-05-15 Ess Holding Gmbh Verfahren zur Oberflächenbeschichtung von Werkstücken
CN110867374B (zh) * 2019-11-25 2022-06-14 上海华力微电子有限公司 金属硬质掩模一体化刻蚀方法及其控制系统
CN113808966B (zh) * 2020-06-16 2023-10-17 长鑫存储技术有限公司 半导体设备的调试方法及半导体器件的制备方法
TWI821064B (zh) * 2022-12-07 2023-11-01 國立成功大學 反應式離子蝕刻處理方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200737337A (en) * 2006-02-27 2007-10-01 Applied Materials Inc Etch methods to form anisotropic features for high aspect ratio applications
US20130023122A1 (en) * 2011-07-20 2013-01-24 Nemani Srinivas D Method of multiple patterning of a low-k dielectric film

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6037547A (en) 1997-12-03 2000-03-14 Advanced Micro Devices, Inc. Via configuration with decreased pitch and/or increased routing space
US6124201A (en) 1998-06-12 2000-09-26 Advanced Micro Devices, Inc. Method for manufacturing semiconductors with self-aligning vias
JP2001156170A (ja) 1999-11-30 2001-06-08 Sony Corp 多層配線の製造方法
US6534809B2 (en) * 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP4850332B2 (ja) 2000-10-18 2012-01-11 東京エレクトロン株式会社 デュアルダマシン構造のエッチング方法
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
US6962879B2 (en) * 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
KR100386622B1 (ko) 2001-06-27 2003-06-09 주식회사 하이닉스반도체 듀얼 다마신 배선 형성방법
TW567554B (en) 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US7547635B2 (en) 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
DE10228344B4 (de) 2002-06-25 2007-02-08 Infineon Technologies Ag Verfahren zur Herstellung von Mikrostrukturen sowie Anordnung von Mikrostrukturen
JP4104426B2 (ja) 2002-10-30 2008-06-18 富士通株式会社 半導体装置の製造方法
US7132369B2 (en) 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US7253115B2 (en) 2003-02-06 2007-08-07 Applied Materials, Inc. Dual damascene etch processes
US7115517B2 (en) 2003-04-07 2006-10-03 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7141505B2 (en) 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US7361607B2 (en) 2003-06-27 2008-04-22 Lam Research Corporation Method for multi-layer resist plasma etch
US7309448B2 (en) 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7091612B2 (en) 2003-10-14 2006-08-15 Infineon Technologies Ag Dual damascene structure and method
US7078350B2 (en) 2004-03-19 2006-07-18 Lam Research Corporation Methods for the optimization of substrate etching in a plasma processing system
US8222155B2 (en) 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP4516450B2 (ja) 2005-03-02 2010-08-04 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JPWO2006100946A1 (ja) 2005-03-24 2008-09-04 パイオニア株式会社 画像信号再符号化装置及び画像信号再符号化方法
US7432194B2 (en) 2005-06-10 2008-10-07 United Microelectronics Corp. Etching method and method for forming contact opening
US7319067B2 (en) 2005-11-07 2008-01-15 United Microelectronics Corp. Method of simultaneously controlling ADI-AEI CD differences of openings having different sizes and etching process utilizing the same method
US7378343B2 (en) 2005-11-17 2008-05-27 United Microelectronics Corp. Dual damascence process utilizing teos-based silicon oxide cap layer having reduced carbon content
US7358182B2 (en) 2005-12-22 2008-04-15 International Business Machines Corporation Method of forming an interconnect structure
US20070218681A1 (en) 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US20070224827A1 (en) 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US20070232048A1 (en) 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having a SiCOH low k layer
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
JP5192209B2 (ja) 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP5211503B2 (ja) 2007-02-16 2013-06-12 富士通セミコンダクター株式会社 半導体装置の製造方法
US8084357B2 (en) 2007-04-11 2011-12-27 United Microelectronics Corp. Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7935640B2 (en) 2007-08-10 2011-05-03 Tokyo Electron Limited Method for forming a damascene structure
US8158524B2 (en) 2007-09-27 2012-04-17 Lam Research Corporation Line width roughness control with arc layer open
JP5248902B2 (ja) 2007-10-11 2013-07-31 東京エレクトロン株式会社 基板処理方法
US8143138B2 (en) 2008-09-29 2012-03-27 Applied Materials, Inc. Method for fabricating interconnect structures for semiconductor devices
US8252192B2 (en) 2009-03-26 2012-08-28 Tokyo Electron Limited Method of pattern etching a dielectric film while removing a mask layer
US8263492B2 (en) 2009-04-29 2012-09-11 International Business Machines Corporation Through substrate vias
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8105942B2 (en) 2010-04-20 2012-01-31 Globalfoundries Inc. CMP-first damascene process scheme
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US20120064713A1 (en) 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8114769B1 (en) 2010-12-31 2012-02-14 Globalfoundries Singapore Pte, Lte. Methods and structures to enable self-aligned via etch for Cu damascene structure using trench first metal hard mask (TFMHM) scheme
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
JP2012174989A (ja) 2011-02-23 2012-09-10 Toshiba Corp 半導体装置の製造方法
JP2012209287A (ja) 2011-03-29 2012-10-25 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US8513114B2 (en) 2011-04-29 2013-08-20 Renesas Electronics Corporation Method for forming a dual damascene interconnect structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200737337A (en) * 2006-02-27 2007-10-01 Applied Materials Inc Etch methods to form anisotropic features for high aspect ratio applications
US20130023122A1 (en) * 2011-07-20 2013-01-24 Nemani Srinivas D Method of multiple patterning of a low-k dielectric film

Also Published As

Publication number Publication date
US8906810B2 (en) 2014-12-09
US20140335697A1 (en) 2014-11-13
KR20140132295A (ko) 2014-11-17
KR102185347B1 (ko) 2020-12-02
TW201519318A (zh) 2015-05-16
CN104143521B (zh) 2019-01-01
CN104143521A (zh) 2014-11-12

Similar Documents

Publication Publication Date Title
TWI621181B (zh) 用於原位金屬硬遮罩形狀控制之脈衝介電蝕刻程序以致能無空隙金屬化
JP6334631B2 (ja) 金属インターコネクトのために絶縁積層体を選択的にエッチングする方法
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US8668835B1 (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
US10049891B1 (en) Selective in situ cobalt residue removal
TWI796358B (zh) 選擇性蝕刻的自對準通孔製程
TWI524423B (zh) 蝕刻及灰化期間低k材料之側壁保護
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
US7413990B2 (en) Method of fabricating a dual damascene interconnect structure
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
US20170011887A1 (en) Uv-assisted reactive ion etch for copper
JP6921990B2 (ja) 超伝導体相互接続のための予洗浄および堆積の方法
TW200305948A (en) System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
KR20080106290A (ko) 높은 선택도로 유전체 배리어층을 에칭하는 방법
WO2006057236A1 (ja) 基板処理方法および半導体装置の製造方法
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR100917291B1 (ko) 듀얼 다마신 분야에서 바닥부 무반사 코팅층의 2단계 에칭
US10950444B2 (en) Metal hard mask layers for processing of microelectronic workpieces
JP5161461B2 (ja) プラズマ処理システムにおける選択性の制御方法
US20130288474A1 (en) Methods for fabricating dual damascene interconnect structures
TW202147517A (zh) 用於完全對準介層窗(fav)之導電帽的選擇性沉積
KR20200003163A (ko) 초전도체 상호연결들을 위한 증착 방법