JP5161461B2 - プラズマ処理システムにおける選択性の制御方法 - Google Patents

プラズマ処理システムにおける選択性の制御方法 Download PDF

Info

Publication number
JP5161461B2
JP5161461B2 JP2006547307A JP2006547307A JP5161461B2 JP 5161461 B2 JP5161461 B2 JP 5161461B2 JP 2006547307 A JP2006547307 A JP 2006547307A JP 2006547307 A JP2006547307 A JP 2006547307A JP 5161461 B2 JP5161461 B2 JP 5161461B2
Authority
JP
Japan
Prior art keywords
bias
ion energy
etch
signal
frequency
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006547307A
Other languages
English (en)
Other versions
JP2007516622A (ja
Inventor
健二 竹下
ターメル,オデット
コザケビッチ,フェリックス
ハドソン,エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/745,846 external-priority patent/US7521362B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2007516622A publication Critical patent/JP2007516622A/ja
Application granted granted Critical
Publication of JP5161461B2 publication Critical patent/JP5161461B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、概して基板製造技術に関し、特には、プラズマ処理システムにおけるイオン・エネルギー制御を最適化する方法と装置に関する。
例えば半導体ウェーハ、またはフラット・パネル・ディスプレイの製造に使用されるもの等のガラス・パネルである基板の処理においては、プラズマがよく使用される。例えば基板処理(化学的気相成長法、プラズマ化学気相成長法、物理的気相成長法、他)の一部として、基板は複数のダイまたは矩形のエリアに分割され、その各々が集積回路になる。次に基板は一連のステップにおいて処理され、これらのステップにおいて材料が選択的に除去(エッチング)されかつ堆積(蒸着)されて基板上に電気コンポーネントが形成される。
集積回路は、基板上の誘電層に導電パターンを形成することによって順次生成される。ある例示的なプラズマ処理では、基板は、エッチングに先立って硬化エマルジョンの薄膜(即ち、フォトレジスト・マスク等)で被覆される。次に、硬化エマルジョンの領域が選択的に除去され、基底層の一部が露出された状態にされる。次に基板は、プラズマ処理室において、単極電極または双極電極を備えるチャックと呼ばれる基板支持構造体上へ置かれる。適切なエッチャント・ソース・ガス(例えば、C48、C46、CHF3、CH23、CF4、CH3F、C24、N2、O2、Ar、Xe、He、H2、NH3、SF6、BCl3、Cl2、他)は次に上記室へと流入され、RF周波数セットで打撃されて基板の露出された領域をエッチングするプラズマが形成される。RF周波数セットの調節を介してプラズマ内のイオン・エネルギー量を制御することにより、エッチ・プロセスは最適化される。
デュアル・ダマシンとして知られる通常の基板製造方法では、誘電層同士はバイア・ホールを満たす導電プラグによって電気的に接続される。概して、誘電層内には開口が形成され、これは次に、2つの導電パターン・セット間の電気接触を可能にする導電材料(例えば、アルミニウム(Al)、銅(Cu)他)で充填される。これにより、基板上にソース/ドレイン領域等の2つのアクティブな領域間に電気接触が確立される。誘電層の表面上の過剰な導電材料は、典型的には化学機械的研磨(CMP)によって除去される。
概して、デュアル・ダマシン基板を製造する手法には、バイア・ファースト及びトレンチ・ファーストの2つがある。バイア・ファースト方法論の一例では、基板がまずフォトレジストでコーティングされ、次にバイアがリソグラフィでパターン転写される。次に、異方性エッチが表面のキャップ材料をカットし、基板の低K層を介して下方へとエッチし、窒化珪素バリア上の基底金属層の真上で止まる。次に、バイアのフォトレジスト層が剥離され、トレンチのフォトレジストが貼付されてリソグラフィ式にパターン転写される。バイアの底には幾分かのフォトレジストが残り、トレンチのエッチ・プロセスの間に下部のバイアがエッチされ過ぎないように防止する。次に、第2の異方性エッチ表面のキャップ材料をカットし、低K材料を下方へ所望される深度までエッチングする。このエッチが、トレンチを形成する。次に、フォトレジストは剥離され、バイアの底の窒化珪素バリアが、基底の銅のバイアへのスパッタリングを引き起こさない超ソフトな低エネルギー・エッチによって開放される。先に述べたように、トレンチ及びバイアは導電材料(例えば、アルミニウム(Al)、銅(Cu)他)で充填され、化学機械的研磨(CMP)によって研磨される。バイア・ファースト手法は、バイアより先にトレンチが形成される場合に発生するフォトレジストのプーリング効果を回避することから小さいジオメトリのデバイスに広く採用されているが、フォトレジスト被毒にもなりやすい。
これに変わる方法論が、トレンチ・ファーストである。その一例では、基板がフォトレジストでコーティングされ、トレンチ・リソグラフィ・パターンが転写される。次に、異方性ドライエッチが表面のハードマスク(この場合も典型的にはSiN、TiNまたはTaN)をカットし、続いてフォトレジストが剥離される。トレンチ・ハードマスク上には別のフォトレジストが貼付され、次いでバイアがリソグラフィ式にパターン転写される。次に、第2の異方性エッチがキャップ層をカットし、降下して低K材料の一部をエッチングする。このエッチングが、部分バイアを形成する。次に、フォトレジストは剥離され、ハードマスクを有するバイア上がトレンチ・エッチされる。トレンチ・エッチは次にキャップ層をカットし、低K材料の一部を下方へ所望される深度までエッチングする。このエッチングは、バイアの底に位置決めされる最終バリアで止まると同時に、バイア・ホールも清浄化する。次に、底部バリアが特別なエッチングにより開放される。フォトレジスト被毒は、実質的にバイア・ファースト方法論よりは少なくなる可能性がある。
しかしながら、サブミクロン級のバイア・コンタクト及びトレンチが高いアスペクト比を有する現行のプラズマ処理技術の使用では、ますます増大する基板上の高い回路密度要件を満足させることは困難である可能性がある。新たな低K膜及び積層複合膜の使用は、誘電エッチのプロセス及び機器に関して新たな課題セットを提供する。
論考を容易にするために、図1Aに、リソグラフィ・ステップより前の例示的半導体ICの層を表す積層100を示す。以下の論考において、本明細書では層間の空間的関係を論議する際に使用する可能性のある「の上」及び「の下」等の用語は、必ずしもそうではないが関連する層間の直接的接触を示す可能性がある。示されている層の上、下または間に他の追加的な層が存在する可能性もあることは、留意されるべきである。さらに、図示されている層は必ずしも全てが存在する必要はなく、その幾つか、または全てを他の異なる層に置換してもよい。
積層100の底部には、SiO2を含む層108が示されている。層108の上には、典型的には窒化物または炭化物(SiNまたはSiC)を含むバリア層104が配置される。デュアル・ダマシン基板はさらに、典型的にはアルミニウムまたは同を含むM1 109a−bを含む1組の金属層を備える。バリア層104の上には、低K材料(例えば、SiOC他)を含む中間誘電(IMD)層106)が配置される。IMD層106の上には、典型的にはSiO2を含むキャップ層103を置いてもよい。キャップ層103の上には、典型的にはTiN、SiNまたはTaNを含むトレンチ・マスク層102を置いてもよい。
図1Bは、さらにフォトレジスト層110及びBARC層112が追加された後の、図1Aに示す積層100の幾分か理想化された断面図を示す。図1Cは、フォトレジスト層110及びBARC層112がリソグラフィにより処理された後の、図1Bに示す積層100の幾分か理想化された断面図を示す。本例では、フォトレジスト・マスク・パターンが1組のトレンチ114a−bを伴って生成される。
図1Dは、トレンチ・マスク層101がプラズマ・システムにおいて処理され、トレンチ114a−bが層103をキャップするまでさらに伸長した後の、図1Cに示す積層100の断面図を示す。図1Eは、フォトレジスト層110及びBARC層112が除去された後の、図1Dに示す積層100の断面図を示す。
図1Fは、第2の金属層及びこれを第1の金属層109a−bへ接続するためのバイアを生成するために第2のフォトレジスト層116及びBARC層118が置かれた後の、図1Eに示す積層100の断面図を示す。図1Gは、フォトレジスト層が開放され、エッチが実行されてバイアを生成するためにIMD層106が部分的にエッチングされた後の、図1Fに示す積層100の断面図を示す。図1Hは、フォトレジスト層110及びBARC層112が剥離され、追加のエッチ・プロセスが実行されてトレンチが所望される深度まで伸長されかつバイアがバリア層104で止まるまでエッチングされた後の、図1Gに示す積層100の断面図を示す。図1Iでは、バリア層104が、例えばCH22、CH32、CH3F他の使用によりエッチングされている。図1Jでは、化学機械的研磨処理が実行されて積層100がキャップ層103まで研磨され、導電材料(例えば、アルミニウム(Al)、銅(Cu)他)が蒸着されて既存のM1金属材料に接触している。
ある典型的なプラズマ処理システムでは、基板を処理するためのイオン・クラウドを生成するために、第1のRFエネルギー・ソースを使用してもよい。一般に、この第1のRFエネルギー・ソースは、イオンを解離するためのソースRF信号を生成していると言うことができる。さらに、プラズマでバイアスを生成し、かつプラズマをプラズマ処理システム内の構造物から離して基板方向へ配向するための別のRFエネルギー・ソースも存在する。一般に、この第2のRFエネルギー・ソースは、イオン・エネルギーを制御するためのバイアスRF信号を生成していると言うことができる。
例えば、二周波三極管構成は、室の頂上におけるソースRF発生器と、バイアスRF信号を基板へ供給するために結合されるバイアスRF発生器とを有する可能性がある。次に図2Aを参照すると、二周波三極管プラズマ処理システム200の略図が示されている。ある典型的な配置は、対応するソースRF信号を上位の電極へ供給する実質上の高周波ソースRF発生器202(例えば、27MHz、60MHzまたは100MHz)と、対応するバイアスRF信号を、基板に結合される下位の電極へ供給する実質上の低周波バイアスRF発生器204(例えば、8KHz、2MHzまたは3MHz)とを使用するというものである。
二周波二極管構成は、ソース及びバイアスRF信号の双方を基板へ供給するように結合されるソース及びバイアスRF発生器の双方を保有してもよい。次に図2Bを参照すると、二周波二極管プラズマ処理システム250が示されている。プラズマ206は基板より上に形成されて基板内へと下方へ加速され、基板のシリコンまたは他の材料を物理的に衝撃してプラズマと負に帯電したウェーハとの間に形成される電界によりこれをエッチングする。ある典型的な配置は、ソースRF信号及びバイアスRF信号の双方を基板に結合される下位の電極へ供給する実質上の高周波ソースRF発生器252(例えば、27MHz、60MHzまたは100MHz)及び実質上の低周波バイアスRF発生器254(例えば、8KHz、2MHzまたは3MHz)の双方を供給するというものである。
単一周波二極管構成は、バイアスRF信号を基板へ供給するように結合される単一のバイアスRFソースを有してもよい。次に図2Cを参照すると、単一周波二極管プラズマ処理システム270が示されている。プラズマ206は基板より上に形成されて基板内へと下方へ加速され、基板のシリコンまたは他の材料を物理的に衝撃してプラズマと負に帯電したウェーハとの間に形成される電界によりこれをエッチングする。ある典型的な配置は、バイアスRF信号を基板に結合される下位の電極へ供給する単一周波バイアスRF発生器252(例えば、13.56MHz)を供給するというものである。
理論に拘束されることを望まないまでも、プラズマ内の高速で移動する電子は概して壁または他の境界によって吸収される傾向がある。プラズマ内の荷電平衡を維持するためには、各壁または境界の近くに基板に近接するもの等の正の薄いイオン・シースを形成してもよい。これは、プラズマ内のイオンをかなりの量のエネルギーで壁または境界内へと加速させる傾向のある電界を生成する。プラズマが適正に最適化されなければ、基板表面にファセッティングまたはコーナ・スパッタリング(または壊食)が発生する。ファセットは、トレンチの側壁におけるように、基板内の非線形プロファイルの結果である。コーナ・スパッタリングは、追加材料の、特にはエッチングされるべき機能の上側のコーナにおける材料の望ましくない除去の結果である。
ファセッティング及び望まれないコーナ・スパッタリングの精確な制御は、デュアル・ダマシン・エッチにおいて、特には、プラグまたは複数のハードマスクが使用されない銅デュアル・ダマシン・エッチ(例えば、トレンチ・ファーストのデュアル・ダマシン誘電エッチ)において極めて重要となる。今日まで、ファセッティング及び望まれないコーナ・スパッタリングを最小限に抑え、プロセス・ウィンドウを最大化しかつ所望される垂直エッチ・プロファイルを達成する目的で、RF構成、特にはバイアスRF発生器のRF構成を使用する試みは行われていない。
ある実施形態では、本発明は、プラズマ処理システムにおいて、少なくとも部分的に半導体基板上の所定の層を介して機能をエッチングするための方法に関する。本方法は、上記プラズマ処理システムのプラズマ処理室に基板を置くことを含む。また本方法は、上記プラズマ処理室内へエッチャント混合気を流し込むことも含み、上記エッチャント混合気は所定の層をエッチングするように構成されている。本方法はさらに、エッチャント・ソース・ガスからのプラズマを打撃することを含む。さらに本方法は、上記基板にバイアスRF信号を印加しながら、上記機能を少なくとも部分的に所定の層を介してエッチングすることを含み、上記バイアスRF信号は約45MHz乃至約75MHzの範囲のバイアスRF周波数を有する。上記バイアスRF信号はさらに、エッチ機能を、基板の第2の層への、予め決められた選択性しきい値より高いエッチング選択性でエッチングさせるように構成されるバイアスRF電力成分を有する。
別の実施形態では、本発明は、プラズマ処理システムにおいて、半導体基板上の誘電層を介して機能をエッチングするための方法に関する。本方法は、上記プラズマ処理システムのプラズマ処理室に基板を置くことと、上記プラズマ処理室内へエッチャント混合気を流し込むことを含み、上記エッチャント混合気は所定の層をエッチングするように構成されている。本方法はさらに、エッチャント・ソース・ガスからのプラズマを打撃することを含む。さらに本方法は、上記基板にバイアスRF信号を印加しながら、上記誘電層を介して上記機能をエッチングすることを含み、上記バイアスRF信号は約27MHz乃至約90MHzの範囲のバイアスRF周波数を有する。上記バイアスRF信号はさらに、バイアスRF周波数における予め決められたエッチ速度パラメータ及びエッチ・プロファイル・パラメータに従って上記機能をエッチングさせるように構成されるバイアスRF電力成分を有する。
以下、本発明の詳細な説明において、かつ下記の図面に関連して本発明のこれらの、または他の特徴をより詳細に説明する。
添付の図面内の諸図に示す本発明は例示的であって限定的なものではなく、諸図における類似の参照番号は類似のエレメントを指す。
次に、添付の図面に示すその幾つかの好適な実施形態を参照して本発明を詳細に説明する。下記の説明においては、多くの特定の詳細事項は本発明の完全な理解をもたらすために述べるものである。しかしながら当業者には、これらの特定の詳細事項の幾つか、または全てがなくとも実施可能であることが明らかであろう。他の例では、周知のプロセス・ステップ及び/または構成は、本発明を不必要に分りにくくしないように詳述を避けている。
理論に拘束されることを望まないまでも、本明細書において本件発明者は、プラズマ処理システムにおいては、上記ファセッティング及び/またはコーナ・スパッタリング(総称的にはエッチ・プロファイル)がイオン・エネルギーによって強く影響されることを確信している。イオン・エネルギーはと言えば、バイアスRF信号のRF構成によって、特にはバイアスRF信号の周波数成分によって強く影響される。イオン・エネルギーは、バイアスRF信号の電力成分によっても影響される。従って本発明は、プラズマ処理システムにおける、ファセッティング及び/またはスパッタリングを最小限に抑え、かつ/または誘電エッチにおける、特には低K層を介してエッチングされる誘電体における垂直エッチ・プロファイルを向上させるためのバイアスRF信号構成の使用を扱うものである。
プラズマは、概して、弱くイオン化されたプラズマから成ると思われる。プラズマ放電はRF駆動されかつ弱くイオン化されることから、プラズマ内の電子はイオンと熱平衡状態にない。即ち、より重いイオンは衝突によって背景ガス(例えば、アルゴン他)とエネルギーを効率的に交換するが、電子は熱エネルギーを吸収する。電子はイオンより遙かに少ない質量を有することから、電子の熱運動速度はイオンの熱運動速度より遙かに高い。これは、より速く移動する電子をプラズマ処理システム内の表面へと失わせ、実質的にプラズマと上記表面との間に正に帯電されたイオン・シースを生成させる傾向がある。
シース内へと進入するイオンは、次に上記表面内へと加速される。より低いバイアスRF周波数は、プラズマ・イオンに1RFサイクル未満でシースを横断させる傾向がある。一般に、より低いバイアスRF周波数はより高いイオン・エネルギーを生じさせる傾向があり、これは、RFバイアス信号が最適化されなければファセッティング及び/またはコーナ・スパッタリングに繋がる。同様に、より高いバイアスRF周波数は、プラズマ・イオンに幾つかのRFサイクルでシースを横断させる傾向がある。一般に、より高いバイアスRF周波数はより低いイオン・エネルギーを生じさせる傾向があり、これは、RFバイアス信号が最適化されなければ不十分なエッチングまたは非異方性エッチングに繋がる。
イオン・エネルギーが適正に最適化されなければ、エッチングは、エッチ速度が効率的製造にとって不当に遅くなるポイントまでスローダウンされると思われる。或いは、かつ/またはさらには、ファセッティング及び/またはコーナ・スパッタリングが発生する。
図3は、第2の金属層を生成しかつバイア・ホールを介するエッチングによりバリア層104へ接触するために使用されるIMDトレンチ・エッチの間にイオン・エネルギーが最適化されず、コーナ・スパッタリング/ファセッティングが生じた状況を示している。図1Hにおけるエッチ・プロファイル118に比較すると、エッチ・プロファイル416は過剰なイオン・エネルギーに起因して(例えば、不当に低い周波数を有するバイアスRF信号の使用により)実質的に崩されていて、重大なファセッティング及び/またはコーナ・スパッタリングが引き起こされている。このコーナ・スパッタリングは、マスク層102及びIMD層106における角領域から過剰な材料が除去されていることにより、明らかに確認することができる。
図4は、第2の金属層を生成しかつバイア・ホールを介するエッチングによりバリア層104へ接触するために使用されるIMDトレンチ・エッチの間にイオン・エネルギーが最適化されず、テーパ状のトレンチ・プロファイル及び不完全なバイア・エッチが生じた状況を示している。
次に図5を参照する。これは、所定の電力レベルにおけるバイアスRF周波数の関数(軸506上に示す)としてのファセッティング(軸502に対する測定値を点線で表示)と、低K層を介するエッチ速度(軸504に対する測定値を実線で表示)とを比較した略図である。ファセッティングは、従来のファセッティング測定方法を使用して測定することができる。図5は、バイアスRF周波数が増大するにつれて、(例えば、本例では約2MHzから約60MHzまでは)エッチ速度は増大し、ファセッティング/コーナ・スパッタリングの量は低減することを示そうとするものである。特に、エッチ速度の増大は約30MHzまで急激であり、次にはやや急激に約60MHzまで増大し、エッチ速度はここから約70MHzまで事実上横ばいになる。約70MHzからは、エッチ速度は劇的にスローダウンし始め(例えば、約70MHzから約100MHzまで)、その時点でイオン・エネルギー・レベルは効率的なエッチに必要なレベルより下であることが示されている。
バイアスRF周波数60MHz512において、本件発明者は、エッチ速度はその最大値にあるが、ファセッティングはその最低値に近いことを観察している。しかしながら、効果的なプロセス・ウィンドウはバイアスRF信号が約30MHz乃至約80MHzであるときに存在し、かつより効果的なプロセス・ウィンドウは、バイアスRF信号が約45MHz乃至約75MHzであって、エッチ速度が高値でありファセッティング/コーナ・スパッタリングが低値であるときに存在する。
一般に、バイアスRF周波数の所定の設定値におけるバイアスRF電力の増大は、エッチ速度及びファセッティング/コーナ・スパッタリング量の双方の増加を引き起こす。過剰なバイアスRF電力は過剰な量のファセッティング/コーナ・スパッタリングを引き起こし、少なすぎるバイアスRF電力はエッチ速度を不当に下げる。従って電力の設定は、プロセスがエッチ速度パラメータとファセッティング/コーナ・スパッタリング・パラメータとの所望される関係内にあり続けるように制御するための別のノブである。
本発明の一実施形態によれば、プラズマ処理システムにおいてプラズマのエッチ速度を最適化すると同時にファセッティングを最小限に抑えるために、低K誘電層を介するデュアル・ダマシン・トレンチ・エッチに約27MHz乃至約90MHzの周波数範囲のバイアスRF信号を使用してもよい。バイアス周波数は、イオン・エネルギー分布を制御する点で重要である。より高いバイアス周波数ではイオン・エネルギー分布は狭く、コーナ・スパッタリング/ファセッティング問題の軽減に寄与する。バイアスRF信号は好適には、商業的に受容可能な垂直プロファイルを維持すると同時にイオン・エネルギーが最適化されかつ最小及び/または商業的に受容可能なファセッティング及びコーナ・スパッタリングが達成されるような、バイアスRF周波数/バイアスRF電力の最適な組合わせによって選択される。本明細書で使用しているように、商業的な受容可能性という用語は、製造中の最終半導体製品が満足に動作するための仕様の範囲内にある結果が得られることを指す。試験基板のバイアス周波数/バイアス電力の最適な組合わせは経験的に決定してもよく、発見される最適パラメータを製造中に使用してもよい。当然ながら、バイアス周波数/バイアス電力の最適な組合わせは、使用される化学及びエッチングされている層の組成に依存して変わる。
例えば、約27MHzのバイアス周波数においては、RF電力の設定は約100W乃至約1500Wの範囲であってもよく、より好適には約200W乃至約1200Wの範囲、好適には約400Wであってもよい。例えば、約90MHzのバイアス周波数においては、RF電力の設定は約200W乃至約2000Wの範囲であってもよく、より好適には約400W乃至約1500Wの範囲、好適には約1000Wであってもよい。
本発明の別の実施形態によれば、二周波三極管プラズマ処理システムにおいてプラズマのエッチ速度を最適化すると同時にファセッティングを最小限に抑えるために、約30MHz乃至約80MHzの周波数範囲のバイアスRF信号を使用してもよい。バイアスRF信号は好適には、商業的に受容可能な垂直プロファイルを維持すると同時にイオン・エネルギーが制御されかつ最小及び/または商業的に受容可能なファセッティング及びコーナ・スパッタリングが達成されるような、バイアスRF周波数/バイアスRF電力の最適な組合わせによって選択される。例えば、約30MHzのバイアス周波数においては、RF電力の設定は約100W乃至約1500Wの範囲であってもよく、より好適には約200W乃至約1200Wの範囲、好適には約400Wであってもよい。例えば、約80MHzのバイアス周波数においては、RF電力の設定は約200W乃至約1800Wの範囲であってもよく、より好適には約400W乃至約1200Wの範囲、好適には約800Wであってもよい。
本発明の別の実施形態によれば、二周波三極管プラズマ処理システムにおいてプラズマのエッチ速度を最適化すると同時にファセッティングを最小限に抑えるために、約45MHz乃至約75MHzの周波数範囲のバイアスRF信号を使用してもよい。バイアスRF信号は好適には、商業的に受容可能な垂直プロファイルを維持すると同時にイオン・エネルギーが制御されかつ最小及び/または商業的に受容可能なファセッティング及びコーナ・スパッタリングが達成されるような、バイアスRF周波数/バイアスRF電力の最適な組合わせによって選択される。例えば、約45MHzのバイアス周波数においては、RF電力の設定は約100W乃至約1500Wの範囲であってもよく、より好適には約200W乃至約1200Wの範囲、好適には約400Wであってもよい。例えば、約75MHzのバイアス周波数においては、RF電力の設定は約200W乃至約1800Wの範囲であってもよく、より好適には約400W乃至約1200Wの範囲、好適には約800Wであってもよい。
本発明の別の実施形態によれば、二周波三極管プラズマ処理システムにおいてプラズマのエッチ速度を最適化すると同時にファセッティングを最小限に抑えるためには、約60MHzのバイアスRF信号が特に適することが発見されている。バイアスRF信号は好適には、商業的に受容可能な垂直プロファイルを維持すると同時にイオン・エネルギーが制御されかつ最小及び/または商業的に受容可能なファセッティング及びコーナ・スパッタリングが達成されるような、バイアスRF周波数/バイアスRF電力の最適な組合わせによって選択される。例えば、約60MHzのバイアス周波数においては、RF電力の設定は約200W乃至約1500Wの範囲であってもよく、より好適には約400W乃至約1000Wの範囲、好適には約600Wであってもよい。
上述のガイドラインに関連して、図5に類似するグラフは、プロセス・エンジニアが特定の誘電エッチ・プロセスを特定のプラズマ処理室構成に合わせて調整する方法も提供している。関心のあるバイアスRF周波数の範囲及び/または関心のあるRF電力設定値の範囲についてエッチ速度とファセッティング/コーナ・スパッタリングとの関係に関するデータ・セットが経験的に取得されれば、プロセス・エンジニアは、特定の誘電エッチ・プロセス及び/または特定のプラズマ処理システムに関するバイアスRF周波数ノブ及びバイアスRF電力ノブを使用して所望されるプロセス・ウィンドウをより精確に取得すると同時に、エッチ速度及びファセッティング/コーナ・スパッタリング(総称的にはエッチ・プロファイル)に関する所望されるパラメータを(即ち特定の値で、または受容可能な値範囲内で)獲得することができる。
上述の論考に関して、プラズマ処理室が二周波設計であってもよいこと、即ち分離されたソースRF信号と、分離されたバイアスRF信号とを有するものであってもよいことは留意される。上記ソースRF信号及びバイアスRF信号は、二周波二極管構成(ソースRF信号及びバイアスRF信号の双方が、カリフォルニア州フレモント所在のラム・リサーチ・コーポレーションが市販するExcelan(登録商標)シリーズ・マシン等の基板へ印加される)、二周波三極管構成(RFバイアス信号のみが基板へ印加される)で供給されてもよい。
さらに、プラズマ処理室は単一周波設計、即ち分離されたソースRF信号のないRFバイアス信号だけの設計であってもよい。バイアスRF信号はイオン・エネルギーを制御することから、バイアスRF信号の適切な制御は、商業的に受容可能な垂直プロファイルを維持しながら所望されるファセッティング及びコーナ・スパッタリングの最小化をもたらす。単一周波設計の使用では、上述の商業的に受容可能な垂直プロファイルを維持しながらファセッティング及び/またはコーナ・スパッタリングを最小限に抑える上で、約45MHz乃至約75MHzの範囲のバイアスRF周波数信号が特に有益であることが発見されている。特に、約60MHzのバイアスRF信号で動作される場合の単一周波設計は、上述の商業的に受容可能なデュアル・ダマシン・トレンチ・エッチの垂直プロファイルを維持しながらファセッティング及び/またはコーナ・スパッタリングを最小限に抑えることに特に適することが発見されている。
さらに、プラズマ処理室は容量的に結合されるプラズマ設計である必要がない。例えば、一番上のRFソースは誘導コイル(カリフォルニア州フレモント所在のラム・リサーチ・コーポレーションが市販するTCP(登録商標)プラズマ・エッチャにおけるもの等)であってもよく、バイアスRF信号はやはり基板へ供給されてエッチングの間に制御されてもよい。同様に、一番上のRFソースはECR(電子サイクロトロン共鳴)であってもよく、バイアスRF信号はやはり基板へ供給されてエッチングの間に制御されてもよい。実際、本発明は、所望されるエッチ速度及び低いファセッティング/コーナ・スパッタリング・パラメータを獲得するためのバイアスRF周波数及び/またはバイアスRF電力の制御に関連するものであることから、ソースRF信号は、任意のRF信号発生装置を使用して供給されてもよいことが企図されている。
本発明の優位点には、最適な周波数セット及び最適な電力設定値セットがファセッティングの実質上の制御に使用される、プラズマ処理システムにおけるRF構成の最適化が含まれる。追加の優位点には、デュアル・ダマシン・プラズマ処理アプリケーションにおける、ファセッティングを実質的に制御するためのRF構成の最適化が含まれる。
本明細書において、本件発明者はさらに、各選択性は、RF周波数とRFバイアス電力との適切な組合わせを選択することによりさらに微調整され得ることを認識した。より特定的には、狭いイオン・エネルギー分布を有するRF周波数を選択することにより、バイアスRF電力にダイアルして別の層より遙かに高い速度で1つの層を選択的にエッチングすることができる。
以下の表及び図を参照すれば、この態様をよりよく理解することができる。周知のように、異なる材料は、構成要素であるその原子及び分子間に個々の異なる化学結合エネルギーを有する。下記の表1に、幾つかの例示的材料の化学的結合エネルギー値を示す。

表1
┌──────────┬───────────┬──────────┐
│ 材料 │D゜298/KJ分子−1 電子ボルト(eV)│
├──────────┼───────────┼──────────┤
│ Si−Si │ 325 │ 3.26 │
├──────────┼───────────┼──────────┤
│ O−Si │ 799 │ 8.28 │
├──────────┼───────────┼──────────┤
│ F−Si │ 553 │ 5.73 │
├──────────┼───────────┼──────────┤
│ Cl−Si │ 406 │ 4.21 │
├──────────┼───────────┼──────────┤
│ C−Si │ 451 │ 4.67 │
├──────────┼───────────┼──────────┤
│ N−Si │ 470 │ 4.87 │
└──────────┴───────────┴──────────┘

図から分るように、酸化物(Si−O)はSi−Cの化学結合(4.67電子ボルト)より強い化学結合(8.28電子ボルト)を有する。炭化珪素(Si−C)はと言えば、シリコン(Si−Si、3.26電子ボルト)より強い化学結合を有する。
相応して、これらの材料のエッチングに要するイオン・エネルギーのしきい値は異なる。図6は、仮想材料1及び材料2の各エッチしきい値を示す。材料2のイオン・エネルギーしきい値602は、実質的に材料1のイオン・エネルギーしきい値604より高いことに留意されたい。イオン・エネルギーを、大部分のイオン・エネルギーが604乃至602の領域内に集中されてポイント602より右の領域にはほとんど、または全く集中しないように調整することができれば、材料1の高度に選択的なエッチを達成することができる。
本件発明者が実行した調査は、RF周波数が低減するにつれて、2つの現象、即ち1)イオン・エネルギー分布はより広くなる傾向があり、2)RF電力設定値に対する感受性は高まる傾向がある、という現象が観察されることを示唆している。逆に、RF周波数が増大するにつれて、イオン・エネルギー分布は狭まる傾向があり、RF電力設定値に対する感受性は下がる傾向がある。
図7は、2MHz、60MHz及び100MHzのRF信号に関する幾つかの例示的なイオン・エネルギー分布を示す。図から分るように、2MHzのRF信号のイオン・エネルギー分布(702)は、60MHzのRF信号(704)または100MHzのRF信号(706)の何れかに関連づけられるものより実質的に広い。100MHzのRF信号は、60MHzのRF信号より僅かに狭いイオン・エネルギー分布を有する。
図7からは、RF信号の周波数が高いほどイオン・エネルギー分布は狭くなり、故にエッチは、利用可能な最も高いRF周波数を選択することによってより選択的に実行され得ることが予期される。この予期は、イオン・エネルギーの大部分を材料2のエッチしきい値のすぐ左の領域(参照番号606が示すロケーション等)に狭く集中させることができれば、SiCのエッチ速度が最も高く、SiO2のエッチングはあるとしても極く僅かであるという結果になる、即ち高度に選択的かつ効果的、他であるエッチングがもたらされる、というものである。
しかしながら、本件発明者は、所定のRF周波数範囲を超えると、応答が飽和状態になることを発見している。この現象を例証するため、以下、単純化された(但し、論考を容易にするために正確な縮尺ではない)図面について考察する。図8Aは、800WのバイアスRF電力における60MHzのRF信号の応答(802)をベース信号として示す。本図には、25Wバイアスのベース信号へ2MHz・RF電力を追加した応答(804)、50Wバイアスのベース信号へ2MHz・RF電力を追加した応答(806)、100Wバイアスのベース信号へ2MHz・RF電力を追加した応答(808)、200Wバイアスのベース信号へ2MHz・RF電力を追加した応答(810)及び400Wバイアスのベース信号へ2MHz・RF電力を追加した応答(812)が各々示されている。800Wのバイアス電力における60MHzのベース信号は、プラズマの発生を促進させるために供給される。イオン・エネルギー分布の幅(WIDTH)は広くなる傾向がありかつ中間値は右に移動し、よってイオン・エネルギーは400WのバイアスRF電力で(例えば50WのバイアスRF電力よりも)高くなる傾向がある点に留意されたい。
図8Bは、100WのバイアスRF電力(852)、200WのバイアスRF電力(854)、400WのバイアスRF電力(856)、800WのバイアスRF電力(858)及び1,100WのバイアスRF電力(860)における60MHz・RF信号の各応答を示す。イオン・エネルギー分布の幅(WIDTH)は、より高いバイアスRF電力において僅かに広がる傾向がある(但し、図8Aの2MHz信号ほどではない)ことに留意されたい。より重大な点は、中間値が左へ移動し、よってイオン・エネルギーは1,100WのバイアスRF電力において(例えば200WのバイアスRF電力よりも)高くなる傾向があることである。
図8Cは、200WのバイアスRF電力(882)、400WのバイアスRF電力(884)800WのバイアスRF電力(858)及び1,100WのバイアスRF電力(860)における60MHz・RF信号の各応答を示す。イオン・エネルギー分布の幅(WIDTH)は実質的に同じままであるが、中間値はバイアスRF電力の設定値に依存して左右へ僅かに移動していることに留意されたい。図8C、8B及び8Aを比較すると、100MHzにおいて応答は飽和状態となり、バイアスRF電力レベルの変化に応答する平均のイオン・エネルギー値の移動は遙かに少ないことが明らかになる。
従って、エッチングによっては、100MHzの使用が選択的に高いエッチ速度をもたらすことはあっても最高のエッチ速度をもたらすことはできない。図9Aはこれを示し、バイアスRF電力は各々200W(902)、400W(904)及び800W(906)に設定され、結果的に生じるエッチは材料1では比較的スローなエッチである。ある極端なケースでは、イオン・エネルギー分布は、バイアスRF電力の設定値に関わらずポイント604の左に留まる可能性がある。この場合は、材料1のエッチングは不可能であると言える。
図9Bは他の極端なケースを示し、2MHzのRF信号912が、バイアスRF電力の設定値に関わらず材料2のエッチングを引き起こしている。これは、2MHz・RF信号のイオン・エネルギー分布が比較的広く、ポイント602乃至604の領域内へのイオン・エネルギーの精確な集束が達成され得ないことに起因する。バイアスRF電力の変更により2MHz・RF信号のイオン・エネルギー分布が左右へ移動されることはないが、問題は、材料2に対するエッチングが満足のいく選択性で実行され得ないことにある。
図9Cは、適切なRF周波数が選択されている状況を示す。このケースでは、イオン・エネルギーは、広いプロセス・ウィンドウを見込むバイアスRF電力設定値へ集束されかつ上記設定値に十分に対応している。図9Cでは、例示的なRF周波数は60MHzである。バイアスRF電力を200W(914)、300W(912)及び400W(910)間で変えることにより、大部分のイオン・エネルギーを材料1のエッチ速度が最も高い領域に集束させることが可能となるが、材料2のエッチングは実質的に生じない。図9Cに示す例では、これは60MHzのRF周波数及び300WのバイアスRF電力を選ぶことによって達成されている。
本発明のこの態様は、高い選択性を達成すべく調整されたRF周波数及び/またはバイアスRF電力設定値を使用して機能をエッチングすることに対処するものであることに留意されたい。周知のように、エッチングには複数の周波数が関係する可能性がある。例えば、バリア層を介するエッチングの間、特定のRF周波数を有する1つのRF信号はバリア層を介するエッチングを担当する可能性があり、別のRF周波数を有する別のRF信号は、フォトレジスト表面またはエッチングされる機能の側壁にポリマの蒸着を発生させ、これによりこれらの領域を不当な打撃から防止することによってエッチングを向上させる可能性がある。
本発明は、第1のコンポーネントに対処する(即ち、エッチング作用を担当する適切なRF信号を選択する)ものであるが、エッチングを向上させる異なるRF周波数を有する追加のRF信号の使用を排除するものではない。さらに、例示目的で60MHzを選んでいるが、適切な周波数は、室の設計、エッチングされかつ/または保護されている特定の材料、使用されるエッチャント及び他の要素に依存する。例示として、選択性の高い低K誘電エッチには、約30MHz乃至約80MHzの範囲の周波数、より好適には約45MHz乃至約75MHzの範囲及び好適には約60MHzの周波数が効果的である可能性のあることが予期される。
ある実施形態では、本発明は、SiN及び/またはSiC層を介するバリア・エッチを供給することにおいて極めて有益であると思われる。適切なRF周波数及び/またはRFバイアス電力を選択することにより、誘電層に対する高い選択性を達成することができる。図1Hを参照すると、バリア層は層104として示され、エッチは、バリア層104を介して基底の金属層109まで(誘電層106の)誘電ショルダを不当に打撃することなくエッチングするように設計することができる。誘電材料に対する選択性の高いバリア・エッチは、既に存在するトレンチを誤って金属層109まで下降伸長させることなくバイアを維持することを確実にする。別の実施形態では、本発明は、誘電層を基板上の別の層に対して選択的である方法でエッチングすることにおいて極めて有益である。
図10は、本発明の一実施形態による、第1の材料を含む第1の層を介してエッチングしながら、第2の材料に対する高い選択性をもたらすエッチ技術を示す。ステップ1002において、RF周波数が確認される。これは、例えば、1つのRF周波数を最も満足するものとして選ぶ様々な方策を使用して、複数の実証的テスト・エッチを制御された環境(例えばファクトリ環境)において実行することを含んでもよい。例えば、第1の層の第1の材料を介して高いエッチ速度をもたらし、第2の材料に対する選択性が高くかつバイアスRF電力設定値に十分に応答するRF周波数が選択される。ステップ1004では、選択されたRF周波数におけるバイアスRF電力が選択される。このバイアスRF電力は、好適には、第1の材料を介して最も高いエッチ速度をもたらすと同時に、第2の層の第2の材料のエッチングはほとんど、または実質上全く発生させないものである。
ある実施形態では、ステップ1002と1004とを逆にしてもよい。言い替えれば、RF電力の範囲はプロセス・エンジニアに提供されてもよく、プロセス・エンジニアは実証的テスト・エッチを実行して、第1の材料を介する最も高いエッチ速度と第2の材料に対する高い選択性との最良の組合わせを提供するRF周波数を決定してもよい。一般に、プロセス・エンジニアは、エッチの選択性が予め決められた選択性しきい値を超えることを可能にするRF周波数とバイアスRF電力との組合わせを選択する。
ステップ1006において、選択されたRF周波数及びバイアスRF電力を含むが、ガス流量、エッチャントの組成、室圧、ヘリウム冷却圧力等の他のパラメータも含む、獲得された方策が製造環境へ供給される。製造環境(例えば商業目的、営利目的でウェーハをエッチングする施設)では、次に、この供給された方策を使用してエッチ製品(エッチングされたウェーハ等)を製造することができる。エッチングされた製品は、続いて、コンピュータまたはコンシューマ電子デバイス等の電子デバイス内へ組み込まれるべき集積回路チップへと統合される。
幾つかの好適な実施形態に関連して本発明を説明したが、本発明の範囲に含まれる代替例、入れ替え及び等価物は存在する。例えば、本発明はラム・リサーチ社のプラズマ処理システム(例えば、Exelan(登録商標)、Exelan HP(登録商標)、Exelan HPT(登録商標)、Exelan2300(登録商標)他等)に関連して説明されているが、他のプラズマ処理システムを使用してもよい。また、本発明の実装方法には多くの代替方法が存在することも留意されるべきである。
例示的な実施形態及び最良の態様を開示したが、開示された実施形態には、添付の請求の範囲に定義されている本発明の主題及び精神を逸脱することなく修正及び変更を実行することができる。
デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 デュアル・ダマシン・プロセスを受ける例示的な積層100を示す断面図である。 二周波三極管プラズマ処理システムを示す略図である。 二周波二極管プラズマ処理システムを示す略図である。 単一周波三極管プラズマ処理システムを示す略図である。 不十分なプラズマ最適化に起因してエッチ・プロファイルがファセットされている積層を示す。 不十分なプラズマ最適化に起因してエッチングが不完全である積層を示す。 本発明の一実施形態による、所定の電力レベルにおいてファセッティング及びエッチ速度とRF周波数とを比較した略図を示す。 仮想材料1及び材料2各々のエッチしきい値を示す。 2MHz、60MHz及び100MHzのRF信号の例示的な幾つかのイオン・エネルギー分布を示す。 様々なバイアスRF電力における2MHzRF信号の応答を示す。 様々なバイアスRF電力における60MHzRF信号の応答を示す。 様々なバイアスRF電力における100MHzRF信号の応答を示す。 エッチ選択性は高いがエッチ速度は低い状況を示す。 2MHzのRF信号が、バイアスRF電力の設定に関わらず望ましくない材料2のエッチングを引き起こす状況を示す。 適切なRF周波数が選択されている状況を示す。 本発明の一実施形態による、第1の材料を含む第1の層を介してエッチングしながら第2の材料へ高い選択性を供給するエッチ技術を示す。

Claims (15)

  1. 上位の電極と下位の電極とを含んだプラズマ処理システムの基板を選択的にエッチングする方法であって、
    該基板は、エッチングのための第1のイオン・エネルギーしきい値を有した第1の材料で成る第1の層と、エッチングのための第2のイオン・エネルギーしきい値を有した第2の材料で成る第2の層とを含んでおり、該第2のイオン・エネルギーしきい値は前記第1のイオン・エネルギーしきい値よりも大きく、本方法は、
    前記下位の電極を前記基板にカップリングするステップと、
    該下位の電極に、45MHzから75MHzのバイアスRF信号を提供し、イオン・エネルギー分布を創出するステップと、
    該バイアスRF信号のバイアス周波数を構成するステップと、
    ポリマー被膜処理のために第2のRF信号を適用するステップであって、該第2のRF信号は前記バイアスRF信号の前記バイアス周波数とは異なる第2の周波数を有している、ステップと、
    を含んでおり、前記バイアス周波数を構成するステップは、
    前記エネルギー・分布の平均イオン・エネルギー値を、前記第1の材料をエッチングするために確認された第1のイオン・エネルギーしきい値と前記第2の材料をエッチングするために確認された第2のイオン・エネルギーしきい値との間とし、
    前記第1のイオン・エネルギーしきい値と前記イオン・エネルギー分布の前記平均イオン・エネルギー値との差異を、前記第2のイオン・エネルギーしきい値と前記イオン・エネルギー分布の前記平均イオン・エネルギー値との差異よりも大きくすることを特徴とする方法。
  2. バイアス周波数を増加させて、イオン・エネルギー分布の幅を減少させるステップをさらに含んでいることを特徴とする請求項1記載の方法。
  3. バイアスRF信号のバイアスRF電力を調節して、イオン・エネルギー分布の平均イオン・エネルギー値を移動させるステップをさらに含んでいることを特徴とする請求項1記載の方法。
  4. バイアスRF信号のバイアスRF電力を増加させて、前記第1の層のエッチ速度を増加させ、第1のイオン・エネルギーしきい値と第2のイオン・エネルギーしきい値との間のイオン・エネルギー分布の平均イオン・エネルギー値を維持するステップをさらに含んでいることを特徴とする請求項1記載の方法。
  5. バイアス周波数は60MHzであることを特徴とする請求項1記載の方法。
  6. バイアスRF信号のバイアスRF電力は300Wであることを特徴とする請求項1記載の方法。
  7. 前記第1の材料はバリア材料であり、前記第2の材料は誘電材料であることを特徴とする請求項1記載の方法。
  8. 前記第1の材料は誘電材料であることを特徴とする請求項1記載の方法。
  9. バイアス周波数を選択するために種々な処方で、制御下の環境において複数の経験的な試験エッチングを実行するステップをさらに含んでいることを特徴とする請求項1記載の方法。
  10. 前記の種々な処方は少なくとも、バイアスRF信号のための種々なバイアスRF電力の設定を含んでいることを特徴とする請求項記載の方法。
  11. 前記の経験的な試験エッチングを通じて、バイアスRF信号のために少なくともバイアスRF電力を選択するステップをさらに含んでいることを特徴とする請求項記載の方法。
  12. 前記の経験的な試験エッチングを通じて、基板をエッチングするために少なくともガス流量を選択するステップをさらに含んでいることを特徴とする請求項記載の方法。
  13. 前記の経験的な試験エッチングを通じて、基板をエッチングするために少なくともエッチャントの組成を選択するステップをさらに含んでいることを特徴とする請求項記載の方法。
  14. 前記の経験的な試験エッチングを通じて、基板をエッチングするために少なくともチャンバ圧力を選択するステップをさらに含んでいることを特徴とする請求項記載の方法。
  15. 前記の経験的な試験エッチングを通じて、基板をエッチングするために少なくともヘリウム冷却圧力を選択するステップをさらに含んでいることを特徴とする請求項記載の方法。
JP2006547307A 2003-12-23 2004-12-21 プラズマ処理システムにおける選択性の制御方法 Expired - Fee Related JP5161461B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/745,846 US7521362B2 (en) 2003-12-23 2003-12-23 Methods for the optimization of ion energy control in a plasma processing system
US10/745,846 2003-12-23
US10/881,410 US7517801B1 (en) 2003-12-23 2004-06-29 Method for selectivity control in a plasma processing system
US10/881,410 2004-06-29
PCT/US2004/043115 WO2005062885A2 (en) 2003-12-23 2004-12-21 Selectivity control in a plasma processing system

Publications (2)

Publication Number Publication Date
JP2007516622A JP2007516622A (ja) 2007-06-21
JP5161461B2 true JP5161461B2 (ja) 2013-03-13

Family

ID=34743165

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006547307A Expired - Fee Related JP5161461B2 (ja) 2003-12-23 2004-12-21 プラズマ処理システムにおける選択性の制御方法

Country Status (8)

Country Link
US (1) US7517801B1 (ja)
EP (1) EP1697970A4 (ja)
JP (1) JP5161461B2 (ja)
KR (1) KR101116588B1 (ja)
CN (1) CN102136420B (ja)
IL (1) IL176466A (ja)
TW (1) TWI360175B (ja)
WO (1) WO2005062885A2 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US8222155B2 (en) * 2004-06-29 2012-07-17 Lam Research Corporation Selectivity control in a plasma processing system
JP4714166B2 (ja) * 2006-08-31 2011-06-29 株式会社東芝 基板のプラズマ処理装置及びプラズマ処理方法
JP5014166B2 (ja) * 2007-02-13 2012-08-29 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
KR100978886B1 (ko) 2007-02-13 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마처리방법 및 플라즈마처리장치
JP6045646B2 (ja) * 2010-08-23 2016-12-14 東京エレクトロン株式会社 プラズマエッチング方法
JP5916056B2 (ja) * 2010-08-23 2016-05-11 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP5894445B2 (ja) * 2012-01-23 2016-03-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP2017033982A (ja) * 2015-07-29 2017-02-09 東京エレクトロン株式会社 多層膜をエッチングする方法
US9728421B2 (en) 2015-12-31 2017-08-08 International Business Machines Corporation High aspect ratio patterning of hard mask materials by organic soft masks
US10622217B2 (en) * 2016-02-04 2020-04-14 Samsung Electronics Co., Ltd. Method of plasma etching and method of fabricating semiconductor device using the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5656123A (en) 1995-06-07 1997-08-12 Varian Associates, Inc. Dual-frequency capacitively-coupled plasma reactor for materials processing
JPH08321495A (ja) 1996-06-19 1996-12-03 Hitachi Ltd プラズマ処理方法
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
GB9930603D0 (en) 1999-12-24 2000-02-16 Surface Tech Sys Ltd Plasma process and apparatus
US20020082881A1 (en) * 2000-10-20 2002-06-27 Price Marc Steven System providing event pricing for on-line exchanges
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6617257B2 (en) 2001-03-30 2003-09-09 Lam Research Corporation Method of plasma etching organic antireflective coating
KR100846761B1 (ko) * 2001-09-11 2008-07-16 삼성전자주식회사 포인터 표시방법, 그 포인팅 장치, 및 그 호스트 장치
JP3898612B2 (ja) * 2001-09-20 2007-03-28 株式会社日立ハイテクノロジーズ プラズマ処理装置及び処理方法
JP5038567B2 (ja) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 エッチング方法
JP2003124189A (ja) * 2001-10-10 2003-04-25 Fujitsu Ltd 半導体装置の製造方法
WO2003043072A1 (fr) * 2001-11-14 2003-05-22 Tokyo Electron Limited Procede de gravure et appareil de gravure par plasma
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping

Also Published As

Publication number Publication date
TWI360175B (en) 2012-03-11
JP2007516622A (ja) 2007-06-21
WO2005062885A3 (en) 2006-09-28
US7517801B1 (en) 2009-04-14
KR20060115905A (ko) 2006-11-10
CN102136420B (zh) 2013-03-20
CN102136420A (zh) 2011-07-27
IL176466A (en) 2010-05-31
EP1697970A2 (en) 2006-09-06
EP1697970A4 (en) 2008-08-06
KR101116588B1 (ko) 2012-03-15
WO2005062885A2 (en) 2005-07-14
IL176466A0 (en) 2007-07-04
TW200524039A (en) 2005-07-16

Similar Documents

Publication Publication Date Title
US7169695B2 (en) Method for forming a dual damascene structure
IL176466A (en) Selectivity control in a plasma processing system
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR101083623B1 (ko) 가스 화학물질의 주기적 조절을 사용하는 플라즈마 에칭방법
JP4454148B2 (ja) 改良式の酸化層エッチング方法
US6797189B2 (en) Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US8906810B2 (en) Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
TW529105B (en) Etching method of organic based insulating film and dual damascene process
WO2000014793A2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US8222155B2 (en) Selectivity control in a plasma processing system
IL190716A (en) Method for plasma etching
EP1667216A2 (en) Dielectric etch method with high density and low bombardment energy plasma providing high etch rates
US7030028B2 (en) Etching method
KR20140095031A (ko) 다중-층 필름 스택에서 자기-정렬 비아 및 트렌치를 에칭하는 방법
JP4024636B2 (ja) 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP2003023000A (ja) 半導体装置の製造方法
US7521362B2 (en) Methods for the optimization of ion energy control in a plasma processing system
US7479458B1 (en) Methods and apparatus for the optimization of highly selective process gases
JP4577328B2 (ja) 半導体装置の製造方法
EP1149407A2 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071022

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101210

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110721

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110822

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20111028

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121024

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121214

R150 Certificate of patent or registration of utility model

Ref document number: 5161461

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151221

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees