CN101405844A - 用于以高选择性刻蚀电介质阻挡层的方法 - Google Patents

用于以高选择性刻蚀电介质阻挡层的方法 Download PDF

Info

Publication number
CN101405844A
CN101405844A CNA2007800101903A CN200780010190A CN101405844A CN 101405844 A CN101405844 A CN 101405844A CN A2007800101903 A CNA2007800101903 A CN A2007800101903A CN 200780010190 A CN200780010190 A CN 200780010190A CN 101405844 A CN101405844 A CN 101405844A
Authority
CN
China
Prior art keywords
gas
dielectric barrier
admixture
flowed
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007800101903A
Other languages
English (en)
Inventor
肖莹
格拉多·A·戴戈迪诺
卡斯特恩·施奈德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101405844A publication Critical patent/CN101405844A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了用于以相对于电介质块绝缘层的高选择性来刻蚀电介质阻挡层的方法。在一种实施例中,该方法包括:在反应器中提供衬底,衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层;将包含H2的气体混合物流入反应器中;以及相对于电介质块绝缘层选择性地刻蚀电介质阻挡层的暴露部分。

Description

用于以高选择性刻蚀电介质阻挡层的方法
技术领域
本发明大体上涉及半导体处理技术,尤其涉及在半导体处理中以高选择性将电介质阻挡层刻蚀到电介质块绝缘(dielectric bulk insulating)层的方法。
背景技术
集成电路已发展成可以在一个芯片上包括几百万个元件(例如晶体管、电容器和电阻器)的复杂器件。芯片设计的发展持续地需要更快的电路和更大的电路密度。对于更大电路密度的需求使得必须减小集成电路元件的尺度。
随着集成电路元件的尺度减小(例如亚微米尺度),用于制造这些元件的材料促成了它们的电性能。例如,具有低电阻的金属互连件(例如铜和铝)在集成电路上的这些元件之间提供了导电路径。
通常,金属互连件由电介质块绝缘材料彼此电隔离开。当相邻的金属互连件之间的距离和/或电介质块绝缘材料的厚度具有亚微米尺度时,这些互连件之间可能发生电容耦合。相邻金属互连件之间的电容耦合可能造成串扰和/或电阻-电容(RC)延迟,这种延迟使集成电路的总体性能变差。
为了使相邻金属互连件之间的电容耦合最小化,需要低介电常数的块绝缘材料(例如小于约4.0的介电常数)。低介电常数块绝缘材料的示例包括二氧化硅(SiO2)、硅酸盐玻璃、氟硅酸盐玻璃(FSG)和掺碳氧化硅(SiOC)等。
另外,电介质阻挡层通常将金属互连件与电介质块绝缘材料分隔开。电介质阻挡层使金属向电介质块绝缘材料中的扩散最小化。金属向电介质块绝缘材料中的扩散是不利的,因为这种扩赛可能影响集成电路的电性能,或使之不可工作。电介质层需要具有低介电常数,以在导电线之间维持电介质堆叠的低k特性。电介质阻挡层还为电介质块绝缘层刻蚀处理用作刻蚀停止层,使得下方的金属不会暴露于刻蚀环境。电介质阻挡层具有约5.5或更低的介电常数。电介质阻挡层的示例是碳化硅(SiC)和含氮碳化硅(SiCN)等。
某些集成电路元件包括多级互连结构(例如双镶嵌(damascene)结构)。多级互连结构可以具有彼此摞在一起的两个或更多个块绝缘层、低电介质阻挡层以及金属层。作为图1A所示的示例性双镶嵌结构,带有下方电介质阻挡层106的块绝缘层108被堆叠在另一个此前形成的互连件上,该互连件带有嵌入另一电介质块绝缘层102中的导电层104。在过孔/沟槽刻蚀处理完成、并在电介质块绝缘层108中限定了过孔/沟槽110时,由过孔/沟槽110限定的暴露电介质阻挡层106被随后除去以暴露出下方的导电层104,使得随后沉积的导电层116可以通过其连接和结合,如图1B所示。但是,块绝缘层108与电介质阻挡层106所选择的材料的相似性使它们之间具有相似的刻蚀特性,从而在刻蚀过程中造成了不良的选择性。如图1C所示,随着电介质阻挡层106受到刻蚀,电介质块绝缘层108可能同时受到反应刻蚀计微粒的侵蚀,造成层114的顶部和/或侧壁的不均匀或锥形轮廓。在下方的导电层104与沟槽开口110不对准的情况下,如图1D所示,由于对电介质块绝缘层102的不良选择性,下方的电介质块绝缘层102可能在电介质阻挡层106的刻蚀过程中受到侵蚀112。
因此,需要一种刻蚀电介质阻挡层的方法,该方法对电介质块绝缘层具有高选择性。
发明内容
本发明中提供了用于以相对于电介质块绝缘层的高选择性来刻蚀电介质阻挡层的方法。在一种实施例中,一种用于刻蚀电介质阻挡层的方法包括:在反应器中提供衬底,所述衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层;将包含H2的气体混合物流入所述反应器中;以及相对于所述电介质块绝缘层选择性地刻蚀所述电介质阻挡层的暴露部分。
在另一种实施例中,一种用于刻蚀电介质阻挡层的方法包括:在反应器中提供衬底,所述衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层;将包含H2气体和含氟气体的气体混合物流入所述反应器中;以及在由所述气体混合物形成的等离子体存在的情况下刻蚀所述电介质阻挡层的暴露部分。
在再一种实施例中,一种用于刻蚀电介质阻挡层的方法包括:在反应器中提供衬底,所述衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层,其中,所述电介质阻挡层是含碳硅膜;将包含H2气体、含氟气体和至少一种惰性气体的气体混合物流入所述反应器中;以及相对于所述电介质块绝缘层选择性地刻蚀所述电介质阻挡层的暴露部分。
附图说明
上文简要概括了本发明,参照本发明的实施例可以以能够详细理解并获得本发明的上述优点的方式了解本发明的更具体说明,附图图示了这些实施例。
图1A-1D是示例性互连结构的截面图;
图2是根据本发明的一种实施例所用的等离子体反应器的示意性截面图;
图3是根据本发明的一种实施例,对互连结构的电介质阻挡层去除处理的一种实施例的流程图;
图4A-4B是衬底上布置有暴露电介质阻挡层的互连结构一种实施例的截面图。
为了便于理解,在可能之处,已经用相同的标号来标记各个附图中共同的相同要素。应当明白,一种实施例中的要素和特征也可以有利地结合到其他实施例中而无需进一步叙述。
但是应当注意,附图只是图示了本发明的示例性实施例,因此不应认为是其范围的限制,本发明可以应用于其他等效实施例。
具体实施方式
本发明的实施例包括用于以高选择性将电介质阻挡层刻蚀到电介质块绝缘层的方法。这些方法通过选择性地刻蚀电介质阻挡层而基本上不侵蚀相邻的电介质块绝缘层和/或下方的导电层以及电介质块绝缘层,而保留了衬底上形成的特征的轮廓和尺度。高刻蚀选择性是通过利用包含氢气(H2)的气体混合物来刻蚀电介质阻挡层而实现的。
图2图示了根据本发明,适于执行电介质阻挡层刻蚀的等离子体源刻蚀反应器202的一种实施例的示意性截面图。适于执行本发明的一种这样的刻蚀反应器是
Figure A20078001019000081
处理室,它可以从Santa Clara,California的Applied Materials,Inc.获得。已经想到,这里所描述的电介质阻挡层刻蚀处理可以在其他刻蚀反应器(包括来自其他制造商的反应器)中执行。
在一种实施例中,反应器202包括处理室210,处理室210具有导电室壁230。用含液体的导管(未示出)来控制室壁230的温度,所述导管位于壁230内和/或附近。
室210是高真空容器,它通过节流阀227连接到真空泵236。室壁230连接到电接地234。衬垫231布置在室210中以覆盖壁230的内表面。衬垫231有利于室210的原位自清洁能力,从而可以容易地从衬垫231除去沉积在衬垫231上的副产品和残余物。
处理室210还包括支撑底座216和喷头232。支撑底座216与喷头232间隔开的关系布置在喷头232下方。支撑底座216可以包括静电卡盘226,用于在处理过程中保持阵地200。由DC电源220控制对静电卡盘226的供电。
支撑底座216通过匹配网络224耦合到射频(RF)偏置电源222。偏置电源222通常能够产生RF信号,该信号具有从约50kHz至约60MHz的可调谐频率以及约0至5,000瓦特的偏置功率。可选地,偏置电源222可以是DC源或脉冲式DC源。
被支撑在支撑底座216上的衬底200的温度至少部分地通过对支撑底座216的温度进行调节来受到控制。在一种实施例中,支撑底座216包括冷却板(未示出),该冷却板中形成有通道以使冷却剂流动。另外,从气体源248供应的背面气体(例如氦气(He))相配地供给到通道中,所述通道布置在衬底200的背面与静电卡盘226表面中形成的沟槽(未示出)之间。背面He气体在底座216与衬底200之间提供了有效的热传递。静电卡盘226还可以在卡盘主体中包括电阻加热器(未示出),以在处理过程中对卡盘226进行加热。在一种实施例中,衬底200被维持在约10摄氏度至约500摄氏度之间的温度。
喷头232安装到处理室210的盖子213。气体面板238流体连接到送气室(plenum,未示出),所述送气室限定在喷头232与盖子213之间。喷头232包括多个孔,使从气体面板238供给送气室的气体能够进入处理室210。喷头232中的空可以布置在不同的区域中,使得各种气体可以以不同的体积流率被释放到室210中。
喷头232和/或位于其附近的上部电极228通过阻抗变换器219(例如四分之一波长匹配杆(matching stub))耦合到RF电源218。RF电源218通常能够产生RF信号,该RF信号具有约160MHz的可调谐频率以及约0至5,000瓦特的源功率。
反应器202还包括一个或多个线圈段或磁体212,其位于室壁230的外部,靠近室盖子213。由DC电源或低频AC电源254控制对(一个或多个)线圈段的供电。
在衬底处理过程中,用气体面板238和节流阀227来控制室210内部的气压。在一种实施例中,室210内部的气压被维持在约0.1至999mTorr。
控制器240包括中央处理单元(CPU)244、存储器242和辅助电路246,并被耦合到反应器202的各个部件以便对本发明的处理进行控制。存储器242可以是任何计算机可读电介质,例如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其他形式的数字式储存装置,可以在反应器202或CPU 244的本地或远程。辅助电路246被耦合到CPU 244,从而以传统方式对CPU 244提供支持。这些电路包括缓存器、电源、时钟电路、输入/输出电路和子系统等。储存在存储器242中的软件例程或一系列程序指令在由CPU 244执行时,使反应器202执行本发明的刻蚀处理。
图2只示出了可用来实施本发明的各种等离子体反应器的一种示例性构造。例如,可以用不同的耦合机构将不同类型的源功率和偏置功率耦合到等离子体室中。既使用源功率又使用偏置功率允许对等离子体密度和衬底相对于等离子体的偏置电压进行独立控制。在某些应用中,可以不需要源功率,而只由偏置功率来维持等离子体。可以通过用电磁铁向真空室施加的磁场来增强等离子体密度,所述电磁铁由低频(例如0.1至0.5赫兹)的AC电流源或DC源来驱动。在其他应用中,可以在与衬底所在的室不同的其他室(例如远程等离子体源)中产生等离子体,随后用本领域已知的技术将等离子体导入该室中。
图3图示了根据本发明的一种实施例,电介质阻挡层去除处理300的一种实施例的流程图。图4A-4B是图示了电介质阻挡层去除处理300的顺序的示意性截面图。处理300可以以指令的形式储存在存储器242中,这些指令由控制器240执行以使反应器202中执行处理300。
通过在反应器202中提供衬底400,处理300开始于步骤302,所述衬底400在互连结构中具有电介质阻挡层。如图4A所示的电介质堆叠412被布置在层402上,层402中布置有至少一个导电层404(例如铜线)。电介质堆叠412包括电介质阻挡层406上方的电介质块绝缘层408。沟槽/过孔410通过传统刻蚀处理(例如双镶嵌刻蚀处理)形成于电介质块绝缘层408中。在一种实施例中,电介质块绝缘层408是具有小于4.0的介电常数的介电材料(低k材料)。合适材料的示例包括含碳氧化硅(SiOC,例如可以从Applied Materials,Inc.获得的BLACK
Figure A20078001019000101
电介质材料)和其他低k聚合物(例如聚酰胺)。
电介质阻挡层406具有约5.5或更低的介电常数。在一种实施例中,电介质阻挡层406是含碳硅层(SiC)、掺氮的含碳硅层(SiCN)等。在图4A所示的实施例中,电介质阻挡层是SiCN膜。电介质阻挡层材料的一种示例是可以从Applied Materials,Inc.获得的
Figure A20078001019000102
电介质材料。
在图4A所示的实施例中,穿过开口刻蚀电介质堆叠410,从而在电介质阻挡层406上方的电介质块绝缘层408中限定了特征410(例如沟槽或过孔)。除去电介质块绝缘层408的一部分以暴露出电介质阻挡层406的表面414。层402中的导电层404处于电介质阻挡层406中形成的特征410之下。在一种实施例中,用由氟和碳形成的等离子体来刻蚀电介质块绝缘层408。电介质块绝缘层408可以在刻蚀室(例如图2所述的反应器202或其他合适的反应器)中刻蚀。
在一种实施例中,可以通过供应约5至约200sccm之间的含碳和氟的气体(例如四氟化碳(CF4))、施加约50瓦特至约2000瓦特之间的功率、维持约0摄氏度至约50摄氏度之间的温度、并在反应器中控制约5mTorr至约200mTorr的处理压力,来执行刻蚀处理。在另一种实施例中,还可以伴随着含碳和氟的气体而至少将载气(例如氩(Ar))供给到反应器中。可以在约50至约500sccm之间供应载气。
在步骤304,将含有H2气体的气体混合物供应到反应器202中以对暴露的电介质阻挡层406进行刻蚀,所述暴露的电介质阻挡层是由电介质块绝缘层408中形成的特征410限定的。伴随有H2气体的气体混合物通过产生与电介质阻挡层406的氮和碳成分发生反应的氢自由基,而促进了电介质阻挡层406的刻蚀,从而选择性地使电介质阻挡层406分解而基本上不刻蚀电介质块绝缘层408。在一种实施例中,气体混合物可以包括但不限于H2气体和含氟气体。含氟气体的合适示例可以包括但不限于CH2F2、CHF3、CH3F、C2F6、CF4、C3F8、C4F6、C4F8等。在另一种实施例中,气体混合物可以包括H2气体、含氟气体和至少一种惰性气体。惰性气体可以从由氩气(Ar)、氦气(He)、氧化氮(NO)、一氧化碳(CO)、一氧化二氮(N2O)、氧气(O2)、氮气(N2)等组成的组中选择。在刻蚀处理过程中防止下方的导电层404受到氧化的实施例中,气体混合物不包括任何含氧气体。
在步骤304,在向刻蚀反应器中供应气体混合物的同时,对一些处理参数进行调节。在一种实施例中,刻蚀反应器中的气体混合物的压力被调节在约10mTorr至约200mTorr之间,例如在约20mTorr至约60mTorr之间;衬底温度被维持在约0摄氏度至约50摄氏度之间,例如在约0摄氏度至约25摄氏度之间。
在步骤306,如图4B所示,由气体混合物形成等离子体以刻蚀暴露的电介质阻挡层406,并除去导电层402上方由衬底上的电介质块绝缘层408中的沟槽410限定的电介质阻挡层406。在一种实施例中,可以以约100瓦特至约800瓦特的功率施加RF源功率,以由气体混合物提供等离子体。可以以约5sccm至约100sccm的流速提供H2气体,例如约20sccm至约60sccm之间。可以以约0sccm至约80sccm之间的流速提供CH2F2,例如约10sccm至约30sccm之间。可以以约50sccm至约500sccm之间的流速提供惰性气体(例如Ar或O2气体),例如约100sccm至约200sccm之间。刻蚀时间可以被处理在约10秒至约80秒之间。
用含H2气体的气体混合物进行的刻蚀处理使得电介质阻挡层406能够被选择性地刻蚀,而不使相邻的和/或下方的电介质块绝缘层408受到侵蚀。刻蚀电介质阻挡层406的刻蚀气体混合物通过产生主要与电介质阻挡层406中包含的氮键和碳键发生反应的氢自由基,而产生了对电介质块绝缘层408的高选择性,从而允许由沟槽410限定的暴露电介质阻挡层406受到均匀刻蚀。在一种实施例中,电介质阻挡层406相对于块绝缘层408的选择性至少为5,例如15。
在到达终点之后,刻蚀电介质阻挡层406的处理终止,所述终点表示下方的导电层404已被暴露。可以用任何合适的方法来确定终点。例如,可以通过对光学发射进行监视、预定时间长度已然经过、或者用于确定要刻蚀的电介质阻挡层406已被充分去除的其他标志,来确定终点。
因此,本发明提供了一种用于刻蚀电介质阻挡层的改善的方法,对于电介质块绝缘层有高选择性。该方法通过选择性地刻蚀由电介质块绝缘层中的沟槽限定的电介质阻挡层,而有利地便于实现互连结构的特征的轮廓和尺度。
尽管上文针对本发明的一些实施例,但是在不图例本发明基本范围的情况下可以想到本发明其他的和进一步的实施例,其范围由权利要求来确定。

Claims (23)

1.一种用于刻蚀互连结构中的电介质阻挡层的方法,包括:
在反应器中提供衬底,所述衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层;
将至少包含H2的气体混合物流入所述反应器中;以及
相对于所述电介质块绝缘层选择性地刻蚀所述电介质阻挡层的暴露部分。
2.根据权利要求1所述的方法,其中,所述将气体混合物流入的步骤还包括:
伴随着所述H2气体而将含氟气体流入所述反应器中。
3.根据权利要求1所述的方法,其中,所述将气体混合物流入的步骤还包括:
将至少一种惰性气体流入所述反应器中。
4.根据权利要求1所述的方法,其中,所述将气体混合物流入的步骤还包括:
以5sccm至约100sccm之间的流速流入所述H2
5.根据权利要求1所述的方法,其中,所述刻蚀步骤还包括:
将处理压力维持在约10mTorr至约200mTorr之间;
将衬底温度控制在约0摄氏度至约50摄氏度之间;和
施加约100瓦特至约800瓦特之间的等离子体功率。
6.根据权利要求2所述的方法,其中,所述将含氟气体流入的步骤还包括:
以约0sccm至约80sccm之间的流速流入所述含氟气体。
7.根据权利要求2所述的方法,其中,所述含氟气体选自由CH2F2、CHF3、CH3F、C2F6、CF4和C3F8组成的组。
8.根据权利要求3所述的方法,其中,所述将惰性气体流入的步骤还包括:
以50sccm至约500sccm之间的流速流入所述惰性气体。
9.根据权利要求3所述的方法,其中,所述惰性气体选自由Ar、O2、CO、NO、N2O、He和N2组成的组。
10.根据权利要求1所述的方法,其中,所述电介质阻挡层具有小于5.5的介电常数,所述电介质绝缘层具有小于4的介电常数。
11.根据权利要求1所述的方法,其中,所述电介质层是含碳硅膜。
12.根据权利要求1所述的方法,还包括:
除去所述暴露的电介质阻挡层;和
使所述衬底上的所述电介质阻挡层下方布置的下方导电层暴露。
13.一种用于形成互连结构中的电介质阻挡层的方法,包括:
在反应器中提供衬底,所述衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层;
将包含H2气体和含氟气体的气体混合物流入所述反应器中;以及
在由所述气体混合物形成的等离子体存在的情况下刻蚀所述电介质阻挡层的暴露部分。
14.根据权利要求13所述的方法,其中,所述将气体混合物流入的步骤还包括:
以约5sccm至约100sccm之间的流速流入所述H2气体;和
以约0sccm至约80sccm之间的流速流入含氟气体。
15.根据权利要求13所述的方法,其中,所述将气体混合物流入的步骤还包括:
将至少一种惰性气体流入所述反应器中,其中,所述惰性气体被以约50sccm至约500sccm之间的流速流入。
16.根据权利要求13所述的方法,其中,所述含氟气体选自由CH2F2、CHF3、CH3F、C2F6、CF4和C3F8组成的组。
17.根据权利要求15所述的方法,其中,所述惰性气体选自由Ar、O2、CO、NO、N2O、He和N2组成的组。
18.根据权利要求13所述的方法,其中,所述将气体混合物流入的步骤还包括:
将处理压力维持在约10mTorr至约200mTorr之间;
将衬底温度控制在约0摄氏度至约50摄氏度之间;和
施加约100瓦特至约800瓦特之间的等离子体功率。
19.根据权利要求13所述的方法,其中,所述电介质阻挡层具有小于5.5的介电常数。
20.根据权利要求13所述的方法,其中,所述电介质阻挡层是含碳硅膜。
21.一种用于形成互连结构中的电介质阻挡层的方法,包括:
在反应器中提供衬底,所述衬底具有经过电介质块绝缘层而暴露的一部分电介质阻挡层,其中,所述电介质阻挡层是含碳硅膜;
将包含H2气体、含氟气体和至少一种惰性气体的气体混合物流入所述反应器中;以及
相对于所述电介质块绝缘层选择性地刻蚀所述电介质阻挡层的暴露部分。
22.根据权利要求21所述的方法,其中,所述将气体混合物流入的步骤还包括:
以约5sccm至约100sccm之间的流速流入所述H2气体;
以约0sccm至约80sccm之间的流速流入所述含氟气体,其中,所述含氟气体选自由CH2F2、CHF3、CH3F、C2F6、CF4和C3F8组成的组;和
以约50sccm至500sccm之间的流速流入所述惰性气体,其中,所述惰性气体选自由Ar、O2、CO、NO、N2O、He和N2组成的组。
23.根据权利要求21所述的方法,其中,将所述气体混合物流入的步骤还包括:
将处理压力维持在约10mTorr至约200mTorr之间;
将衬底温度控制在约0摄氏度至约50摄氏度之间;和
施加约100瓦特至约800瓦特之间的等离子体功率。
CNA2007800101903A 2006-03-22 2007-03-16 用于以高选择性刻蚀电介质阻挡层的方法 Pending CN101405844A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/388,246 US7977245B2 (en) 2006-03-22 2006-03-22 Methods for etching a dielectric barrier layer with high selectivity
US11/388,246 2006-03-22

Publications (1)

Publication Number Publication Date
CN101405844A true CN101405844A (zh) 2009-04-08

Family

ID=38523179

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2007800101903A Pending CN101405844A (zh) 2006-03-22 2007-03-16 用于以高选择性刻蚀电介质阻挡层的方法

Country Status (6)

Country Link
US (2) US7977245B2 (zh)
EP (1) EP2008298A2 (zh)
JP (1) JP2009530871A (zh)
KR (1) KR101083211B1 (zh)
CN (1) CN101405844A (zh)
WO (1) WO2007109522A2 (zh)

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
CN102832118B (zh) * 2012-09-11 2015-02-18 上海华力微电子有限公司 双大马士革结构中底部抗反射涂层的刻蚀方法
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20150050816A1 (en) * 2013-08-19 2015-02-19 Korea Atomic Energy Research Institute Method of electrochemically preparing silicon film
KR102132361B1 (ko) * 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
WO2018111333A1 (en) 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11728212B2 (en) * 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950126A (en) 1996-12-03 1999-09-07 Nokia Telecommunications Oy Network operator controlled usage of long distance carriers
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
AU1107400A (en) 1998-10-08 2000-04-26 Imo Industries, Inc. Universal joint for vehicle steering systems
US6137126A (en) * 1999-08-17 2000-10-24 Advanced Micro Devices, Inc. Method to reduce gate-to-local interconnect capacitance using a low dielectric constant material for LDD spacer
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
TW464977B (en) * 2000-11-03 2001-11-21 United Microelectronics Corp Method for peeling off silicon carbide layer
US6514850B2 (en) * 2001-01-31 2003-02-04 Applied Materials, Inc. Interface with dielectric layer and method of making
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP3914452B2 (ja) * 2001-08-07 2007-05-16 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6743725B1 (en) * 2001-08-13 2004-06-01 Lsi Logic Corporation High selectivity SiC etch in integrated circuit fabrication
CN100559554C (zh) * 2001-08-31 2009-11-11 东京毅力科创株式会社 被处理体的蚀刻方法
US6753260B1 (en) * 2001-10-05 2004-06-22 Taiwan Semiconductor Manufacturing Company Composite etching stop in semiconductor process integration
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6652712B2 (en) * 2001-12-19 2003-11-25 Applied Materials, Inc Inductive antenna for a plasma reactor producing reduced fluorine dissociation
US6790755B2 (en) * 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US20030228768A1 (en) 2002-06-05 2003-12-11 Applied Materials, Inc. Dielectric etching with reduced striation
JP3616615B2 (ja) * 2002-06-06 2005-02-02 沖電気工業株式会社 半導体装置の製造方法
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US6705886B1 (en) * 2003-01-23 2004-03-16 Fci Americas Technology, Inc. Electrical connector having connector position assurance member
JP2004235361A (ja) * 2003-01-29 2004-08-19 Nec Electronics Corp 半導体装置の製造方法および半導体製造装置
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
JP2004296835A (ja) * 2003-03-27 2004-10-21 Applied Materials Inc ダマシン構造を形成する方法
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US20050059234A1 (en) * 2003-09-16 2005-03-17 Applied Materials, Inc. Method of fabricating a dual damascene interconnect structure
US7015133B2 (en) * 2004-04-14 2006-03-21 Taiwan Semiconductor Manufacturing Company Dual damascene structure formed of low-k dielectric materials
US20050266691A1 (en) * 2004-05-11 2005-12-01 Applied Materials Inc. Carbon-doped-Si oxide etch using H2 additive in fluorocarbon etch chemistry
US7288482B2 (en) * 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods

Also Published As

Publication number Publication date
KR101083211B1 (ko) 2011-11-11
JP2009530871A (ja) 2009-08-27
US7977245B2 (en) 2011-07-12
WO2007109522A3 (en) 2008-03-20
US20070224803A1 (en) 2007-09-27
KR20080106290A (ko) 2008-12-04
WO2007109522A2 (en) 2007-09-27
EP2008298A2 (en) 2008-12-31
US20070224807A1 (en) 2007-09-27

Similar Documents

Publication Publication Date Title
CN101405844A (zh) 用于以高选择性刻蚀电介质阻挡层的方法
US7166535B2 (en) Plasma etching of silicon carbide
US6670278B2 (en) Method of plasma etching of silicon carbide
KR102185347B1 (ko) 보이드-프리 금속화를 가능하게 하도록 인-시츄 금속 하드 마스크 형상 제어를 위한 펄싱 유전체 에칭 프로세스
KR100484321B1 (ko) 반도체 장치 및 그 제조 방법
US7125806B2 (en) Etching method
US6793835B2 (en) System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US11205617B2 (en) Interconnect structure having a graphene layer
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
US8668835B1 (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
TW200300980A (en) Process for selectively etching dielectric layers
JP2004247725A (ja) シリコンカーバイド膜を形成する方法
JP2002275631A (ja) オルガノシリケート層の堆積方法
US20050009320A1 (en) Method of forming silicon carbide films
JP2009530869A (ja) デュアルダマシン用途における底部反射防止コーティング層のエッチング方法
WO2002073674A1 (fr) Procede permettant de graver un film isolant organique et procede double damascene
WO2015126590A1 (en) Hermetic cvd-cap with improved step coverage in high aspect ratio structures
JP4260764B2 (ja) 半導体装置の製造方法
JP5232455B2 (ja) デュアルダマシン用途における下面反射防止コーティング層の2ステップエッチング
CN100474524C (zh) 等离子体蚀刻方法及计算机可读取的存储介质
CN101231968B (zh) 镶嵌内连线结构与双镶嵌工艺
JPH10289952A (ja) 半導体装置の製造方法
JPH1131678A (ja) 半導体装置の製造方法
JP2005005697A (ja) 半導体装置の製造方法
US20080203056A1 (en) Methods for etching high aspect ratio features

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090408