JP2004512673A - 炭素を含有するシリコン酸化物膜をエッチングする方法 - Google Patents

炭素を含有するシリコン酸化物膜をエッチングする方法 Download PDF

Info

Publication number
JP2004512673A
JP2004512673A JP2002524207A JP2002524207A JP2004512673A JP 2004512673 A JP2004512673 A JP 2004512673A JP 2002524207 A JP2002524207 A JP 2002524207A JP 2002524207 A JP2002524207 A JP 2002524207A JP 2004512673 A JP2004512673 A JP 2004512673A
Authority
JP
Japan
Prior art keywords
carbon
silicon oxide
oxide film
containing silicon
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002524207A
Other languages
English (en)
Inventor
シエ チャン リン
チェン フイ
ユアン ジー
イェ ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2004512673A publication Critical patent/JP2004512673A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

我々は、優れたエッチングプロフィール制御、炭素/含有シリコン酸化物膜の速いエッチング速度、及び上にあるホトレジストマスク材料に対しして優先的に炭素含有シリコン酸化物膜をエッチンするための高い選択度を与える炭素含有シリコン酸化物膜をプラズマエッチングするための方法を発見した。特に、炭素/含有シリコン酸化物膜における高い炭素含有量は、少なくとも20原子%の炭素含有量まで速いエッチング速度を生じる。特に、炭素含有シリコン酸化物膜はNHとCを含むソースガスから生成されるプラズマを用いてプラズマエッチングされる。副産物のポリマー体積とエッチングされる基板のいろいろな表面上の除去間にバランスを与えるために、プラズマソースガスにおけるNHとCの相対量の間に正しいバランスを達成することが必要である。NHガスはホトレジスト面上、エッチングされる面上、及びプロセスチャンバの表面上に堆積されたポリマーをきれいにする機能を有する。プラズマソースガスにおける炭素:窒素の原子比は、一般に約0.3:1から約3:1までの範囲にある。われわれは、CとCが炭素含有シリコン酸化物膜のエッチン中に優れたエッチング速度を提供することを見つけた。

Description

【0001】
(発明の属する技術分野)
本発明は、炭素を含有するシリコン酸化物膜のエッチングに関する。
【0002】
(従来の技術)
低k誘電体材料は、半導体製造に用いられる誘電体材料の次世代用のものである。用語“低k誘電体”は、一般に二酸化シリコンの誘電定数(k≒4.0)より低い有で定数(k)値を有する全ての材料を呼ぶ。有機ポリマーをベースにした材料ばかりでなく、例えば、フッ素、炭素および水素のような添加物を含むシリコン酸化物をベースにした材料を含む多くのいろいろな種類の誘電体材料がある。
【0003】
炭素を含有するシリコン酸化物膜を製造するいろいろな方法が知られている。例えば、Yau他の米国特許出願09/021,788号やItoh他のドイツ特許DE19654737号は、このような膜を製造する方法を開示している。特に、米国特許出願09/021,788号は、有機シラン(例えば、メチルシラン、CHSiH)を酸化ガス(例えば、NOまたはO)と反応させることによって低誘電体膜を堆積するための方法を開示する。膜の堆積中に、メチル(CH)群はシリコン酸化物構造に結合する。この膜における炭素の存在は、膜の誘電定数を減少させる。
【0004】
シリコン酸化物膜のプラズマエッチングは、一般にCガス、例えばCFまたはCを含むソースガスを用いて行なわれる。ソースガスにおける炭素およびフッ素は、一般にシリコン酸化物に対して許容できるエッチング速度を与えるのに充分である。しかし、炭素を含有するシリコン酸化物膜に対しては、エッチングは、Cガスが唯一のエッチャントガスとして用いられた場合、うまく行なうことができない。プラズマソースガスにおける炭素およびフッ素とともに、膜における炭素は、エッチングされている半導体構造の表面上に堆積する望ましくない、長い鎖の炭素‐フッ素ポリマーを生成するように結合して、エッチングプロセスを妨げる。また、この長い鎖の炭素‐フッ素ポリマーは、プロセスチャンバ内の表面を汚染する。
【0005】
この問題に対する従来の解決策は、Cガスに酸素のソースを加えるステップを有していた。この酸素は、端を含有するシリコン酸化物膜における炭素と反応し、過度のポリマーの堆積を防止し、膜のエッチング速度を増加する。しかし、また、酸素は、下にあるフィーチャ(例えば、コンタクトビア)のエッチングに対するパターン化マスクとして用いられる上にあるホトレジスト層を攻撃する。したがって、酸素の存在は、炭素を含有するシリコン酸化物膜のエッチング速度を増進するけれども、上にあるホトレジスト層より優先してシリコン酸化物膜をエッチングする選択度が減少する。
【0006】
許容できるエッチング速度および上にあるホトレジスト層に対してシリコン酸化物膜をエッチングするための許容できる選択度の双方を与える炭素を含有するシリコン酸化物膜のための効果的な方法を提供することが望まれる。
【0007】
(発明の概要)
我々は、NHおよびCを含むソースガスから生成されるプラズマに膜を曝すステップを有する炭素を含有するシリコン酸化物膜をプラズマエッチングするための方法を発見した。この炭素を含有シリコン酸化物膜は、一般に約20原子%炭素以下、好ましくは、約8〜約20原子%炭素の炭素含有範囲、さらに好ましくは、約8〜約13原子%炭素の炭素含有範囲を含む。この炭素を含有シリコン酸化物膜は、しばしば、水素を含む。水素が存在する場合、水素濃度は、一般に全体の膜の組成物の約45原子%以下である。好ましくは、水素濃度は約30から約45原子%の範囲、さらに好ましくは、炭素含有シリコン酸化物膜の約30から40原子%の範囲である。
【0008】
エッチャントプラズマ中の活性フッ素種が炭素含有シリコン酸化物膜(基板)のシリコンと反応する。炭素含有シリコン酸化物膜から発生される酸素主及びプラズマからの水素種が炭素と反応する。本発明の方法は、優れたエッチング速度ばかりでなく、上にあるホトレジストのマスク材料に優先して、炭素を含有するシリコン酸化物層に対する優れたエッチングの選択度を提供する。(プラズマソースガスにおけるCから発生する)ポリマーの層は、エッチングプロセス中にホトレジストのマスク層の上面上に堆積されるので、選択度が改善されるというのが我々の見解であるが、限定を意図しない。この堆積されたポリマーの層は、ホトレジストがシリコン酸化物のエッチングプロセス中に消費されるのを保護する。同時に、プラズマソースガスのアンモンニア(NH)ガス部分は、ホトレジストの表面上、エッチされた表面上およびプロセスチャンバの表面上に堆積されたポリマーをきれいにする機能がある。副産物のポリマー堆積及びエッチングされる基板のいろいろな表面上の除去の間にバランスを与えるために、プラズマソースガスにおけるCおよびNH間に正しいバランスを達成することが必要である。炭素含有シリコン酸化物のエッチング速度を増加するために、プラズマソースガスに酸素を加えることができるが、これはホトレジストに対して炭素含有シリコン酸化物のエッチングの方を選んで、選択度を減少する。
【0009】
炭素および窒素は、一般的に炭素:窒素が約1:0.3から約1:3の範囲内、好ましくは約1:0.7から約1:22、さらに好ましくは約1:1から約1:1.8の範囲の原子比のソースガスに存在する。
【0010】
本発明の方法は、炭素含有シリコン酸化物膜をNHおよびCを含むソースガスに曝す。ここで、xは約1から約6の範囲にあり、yは約4から約8の範囲にある。一般的に、x=2〜4およびy=4〜8である。特に、我々は、C、C、C及びCが優れたエッチング速度とエッチングの選択度を提供する。
【0011】
好適ではないけれども、プラズマソースガスは、さらにアルゴン、ヘリウム、キセノン、クリプトン及びそれらの組合せからなる群から選択された非反応性の希ガスを有することができる。
【0012】
炭素含有シリコン酸化物膜をエッチングするための、本発明の方法は、少なくとも2.2μm/分のエッチング速度及び約25:1までの上にあるホトレジスト層に対するエッチングの選択度を提供する。この、炭素含有シリコン酸化物の急速なエッチング速度とホトレジストのマスク層に対する高いエッチング選択度の組み合わせは予期されなかった。また、エッチングの完了後のきれいなプロセスチャンバの表面は重要である。
【0013】
(発明の実施の形態)
以下に、炭素を含有するシリコン酸化物膜をエッチングする方法が詳細に説明される。本方法は、NHおよびCを含むソースガスから発生されるプラズマへ膜を曝すステップを含む。
【0014】
詳細な説明への序文として、この明聖書及び特許請求の範囲に用いられているように、単数形のは“1つの(”a”と”an”)”および“その、またはこの”(”the”)は、特に断らない限り、複数形をも含む。
【0015】
I.発明を実施するための装置
図1は、本発明の方法を実施するために使用される装置の1つの例、アプライドマテリアルズIPSTM誘電体エッチングチャンバ(参照符号100によって示される)を示す。このIPSTMチャンバは、外部コイル102(2.0±0.1MHzに同調した周波数)及び内部コイル104(2.3±0.1MHzに同調した周波数)によって与えられる2つのプラズマ電源を有する。基板(図示しないが、一般にはシリコンウエハ)が一般にはセラミックの静電チャック108である支持台に保持される。バイアス電圧がバイアス電源106(1.7±0.2MHzに同調した周波数)によって基板の支持台108に与えられる。プラズマソースガスは、基板の下にある位置110からエッチングチャンバへ供給され、且つプラズマが発生されるエッチングチャンバの上部に向かって移動する。本発明の方法は、好ましくは、プラズマソース電力及び基板バイアス電力用の分離した電力制御を有する装置において行なわれる。
【0016】
上記の装置は優れた結果をもたらすけれども、本方法は、RF発生平行板、電子サイクロトロン共振(electron cyclotron resonance:ECR)、高密度反射型電子、ヘリコン波、誘導性結合プラズマ(inductively coupled plasma:ICP)及びトランス結合プラズマ(transformer coupled plasma:TCP)装置を含むが、それらに限定されない従来既知のいろいろな種類の他の装置において達成されることもできる。これらの処理装置の例は、米国特許6,095,084;6,077,384;6,074,512;6,071,372;6,063,233;6,054,013;6,036,787;6,026,762;6.020,686;5,976,308;及び5,900,064に記載され、これらの各々は、レファレンスによってここに取り込まれる。
【0017】
II.炭素含有シリコン酸化物膜をエッチングするための本発明の方法
本発明は、炭素含有シリコン酸化物膜のエッチングに関する。一般に、膜はシリコン酸化物構造に結合されたメチル(CH)群からなっている。炭素は、一般に約30原子%より少なく存在している。一般に、炭素の含有量は、シリコン酸化物膜の約8から約13原子%の範囲内である。シリコン酸化物膜の炭素の含有量は、それが膜の一般の機械的特性及び膜の導電率に影響するために、重要である。
【0018】
また、水素は炭素含有シリコン酸化物膜にしばしば存在する。一般に、水素の濃度は約50原子%より少ない。一般に、水素の含有量は、シリコン酸化物膜の約30から約45原子%の範囲内である。
【0019】
本発明の方法は、炭素含有シリコン酸化物膜をNHおよびCを有するソースガスから発生されるプラズマに曝すステップを有する。炭素及び窒素は、一般的に炭素:窒素が約0.3:1から約3:1の範囲内、好ましくは約0.5:1から約1.4:1、最も好ましくは約0.6:1から約1:1の範囲の原子比のソースガスに存在する。Cに関して、xは一般に約1から約6の範囲にあり、yは一般に約4から約8の範囲にある。Cガスは、一般にC、C、C、C及びCが及びそれらの組み合わせからなる群から選択される。われわれは、CおよびCが優れたエッチング速度とエッチング選択度を提供することを見つけた。
【0020】
プラズマソースガスは、更に、炭素含有シリコン酸化物膜のエッチング速度を増すために酸素を含むことこができる。しかし、酸素の存在は上にあるホトレジスト層に対して炭素含有シリコン酸化物膜をエッチングするための選択度を低下するので、酸素は、ソースガスの約30体積%より多くを含むべきでなく、一般的には、約20体積%より少なく含むべきである。
【0021】
好適ではないけれども、プラズマソースガスは、さらに、アルゴン、ヘリウム、キセノン、クリプトン及びそれらの組合せからなる群から選択された非反応性の希ガスを有することができる。
【0022】
電源がプラズマソース電力及び基板バイアス電力に対して別々に制御される場合、本発明の方法による炭素を含有するシリコン酸化物膜をエッチングするための一般的なプロセス条件は、以下の表1に示されている。単一のソース電力のみがある場合、“内部(W)”ソース電力の値は単純に“0W”である。
【0023】
表1 炭素含有シリコン酸化物膜をエッチングするための好適な実施形態のプロセス条件
Figure 2004512673
【0024】
用語“ソース電力”は、プロセスチャンバに活性エッチャント種を生成するために、エネルギーの主な部分を与えることによって、プラズマを維持するのに係わる電力をいう。
【0025】
**用語“ベース電力”は、基板表面上に負の電圧を生成するように基板支持プラテンに加えられた電力をいう。一般に、負の電圧は、高いエネルギー種の衝突及び基板に向かうイオンの方向性を制御するために用いられる。
【0026】
炭素含有シリコン酸化物膜をエッチングするための本方法の開発中に、我々はプラズマソースガスの組成およびエッチングプロセス条件を最適にするために、いろいろな実験を行なった。これらの実験は、全て図1に示されるアプライドマテリアルズIPSTM誘電体エッチングチャンバにおいて行なわれた。これらの開発実験の結果は、以下の表2に示されている。外部のプラズマソース電力及び内部のプラズマソース電力を有するIPSTM誘電体エッチングチャンバの使用によって、基板(ウエハ)表面を横切ってエッチングの非常に高い均一性が与えられた。
【0027】
表2 炭素含有シリコン酸化物膜のエッチング方法の開発データ
Figure 2004512673
【0028】
このソース電力は外部のソース電力と内部のソース電力の組合わせであり、外部のソース電力と内部のソース電力の比は約2:1に平均化される。
【0029】
**この基板温度の値は±20℃である。一般に、ウエハが置かれるカソードの温度は約10℃であり、ウエハ温度は、約50℃高く、特別なプロセス動作条件に依存する。
【0030】
***PRはホトレジストである。用語“選択度”はSiOのエッチング速度とホトレジストのエッチング速度の比に言及するために用いられる。
【0031】
上に示されたプロセス条件の元で、チャンバ圧力が40mTorrまたはそれ以下である場合、エッチング中のエッチングチャンバにおけるプラズマ密度は約5×1011/cmから約5×1012/cmの範囲に見積もられた。
【0032】
炭素含有シリコン酸化物膜に対するエッチング速度は2.2から3.1μm/分の範囲であった。(一般に、少なくとも0.8μm/分のエッチング速度は受け入れ可能であると考えられる)。ラン#1は、3.1μm/分の最も高いエッチング速度を示した。ラン#1のプラズマソースガスにおける炭素:窒素の原子比は、比較的高いプロセスチャンバ圧力(60mTorr)、ソース電力(1600W)及びバイアス電力(1200W)の場合1:1であった。
【0033】
一般に、パターン化されたホトレジスト層が炭素含有シリコン酸化物の下にある層におけるフィーチャをエッチングするために、マスクとして用いれている。用語“フィーチャ”は相互接続、コンタクト、ビア、トレンチ及び基板表面の形状を形成する他の構造を呼ぶが、これらに限定されるものではない。米国のマサチュセッツ州にあるShipley社によって製造されたUV‐5ホトレジストが表2にリストされた開発ランにおいて用いれた。ホトレジスト層は約8000Åの厚さを有した。
【0034】
ホトレジスト層はエッチングされている下にある層より非常に低い速度で消費されるのが望ましい。即ち、ホトレジストに対して炭素含有シリコン酸化物膜に対するエッチング選択度は高く(一般には、少なくとも5:1)なければならない。ラン#6は、最も高い、シリコン酸化物:ホトレジストのエッチング選択度、即ち25:1を示した。ラン#6は、低いプロセスチャンバ圧力(40mTorr)及びソース電力(1200W)の場合、プラズマソースガスにおける炭素:窒素が1.4:1の原子比を用いた。また、ラン#6は、全てのランで最も低いバイアス電力(850W)を用いた。
【0035】
最良のエッチングプロフィールがラン#6において得られた。用語“エッチングプロフィール”(即ち、フィーチャプロフィール)は、一般にエッチングされるフィーチャの断面プロフィールを呼ぶが、それに限定されない。多くの例において、エッチングされるフィーチャパターンが基板内にエッチングされるトレンチの一つである場合、エッチングプロフィールは、トレンチの側壁とトレンチのベースにあるトレンチの底に沿って描かれた水平ラインの間の角度によって説明される。用語“垂直プロフィール”は、トレンチの側壁がトレンチの底に沿って描かれた水平線に実質的に垂直であるエッチングされるトレンチプロフィールを呼ぶ。しばしば、トレンチの側壁に沿って描かれたラインとトレンチの底に沿った水平ラインとの角度が約88度及び90度(または、角度が測定される方向に依存して90度から約92度)である。用語“アンダーカットプロフィール”は、トレンチの上部にある開口からの距離が増加するにしたがって、トレンチの幅が増加するトレンチ側壁のプロフィールを呼ぶ。用語“テーパ化されたプロフィール”は、トレンチの上部にある開口からの距離が増加するにしたがって、トレンチの幅が減少するトレンチ側壁のプロフィールを呼ぶ。用語“曲りプロフィール”は、トレンチの幅はトレンチの上部において小さく、トレンチが基板へ深く行くにしたがって、拡大され、その後、再びトレンチの底で小さくなるものを呼ぶ。
【0036】
ラン#3のプラズマソースガスへのアルゴンの追加は、低下したエッチング速度(2.2μm/分)ばかりでなく、減少したシリコン酸化物:ホトレジストの選択度(2.9:1)を生じた。更に,ラン#3において得られたエッチングプロフィールは緩やかな曲りを示した。即ち、フィーチャの断面の幅は、基板表面の開口とフィーチャの底部の略中間で最も大きかった。
【0037】
結局、ラン#6は、エッチング速度、エッチングプロフィール、及び上にあるホトレジスト層に対するシリコン酸化物層をエッチングするための選択度に関して最良の結果を得た。
【0038】
上記の表2に示された結果によって判るように、本発明の方法は、優れたエッチング速度ばかりでなく、上にあるホトレジスト層に対する炭素含有シリコン酸化物膜をエッチングするための優れた選択度を提供した。
【0039】
図2は、他のプロセス変数が以下のように一定に保たれたとき、プラズマソースガスにおけるNHに対するCの相対量を変えることの効果を示している:外部ソース電力は2MHzで800Wであった;内部ソース電力は2MHzで400Wであった;バイアス電力は1.7MHzで1000Wであった;プロセスチャンバ圧力は40ミリトル(mTorr)であった;及び基板温度は60−100℃の範囲内、最も普通では約60℃であった。15トル(Torr)のヘリウムの裏側圧力が熱伝達を助けるように基板ウエハの裏側に対して用いられた。静電チャックは水冷された。
【0040】
図2に表示されたスケール202はCに対する流速(sccm)を示し、一方図に表示されたスケール204はNHに対する流速範囲を示す。
【0041】
グラフ210は、CとNHの流速における変化の関数として垂直からエッチングされた壁のプロフィールにおける変化を示す。プロフィールは、約0℃から約4℃まで変化するように示されている。ここで、Cの増加はプロフィールのアンダーカットの減少を生じ、一方、NHの増加はプロフィールのアンダーカットの増加を生じる。
【0042】
グラフ220は、CとNHの流速における変化の関数としてエッチング選択度(炭素含有シリコン酸化物:ホトレジストのマスク材料のエッチング速度比)の変化を示す。選択度は、約5.2から約8.7まで変化するように示されている。ここで、Cの増加はプロフィールの選択度の増加を生じ、一方、NHの増加は選択度の減少を生じる。
【0043】
グラフ240は、CとNHの流速における変化の関数としてホトレジストのマスク材料のエッチング速度の変化を示す。エッチング速度は、約3300Å/分から約4300Å/分まで変化するように示されている。ここで、Cの増加はホトレジストのエッチング速度の減少を生じ、一方、NHの増加はエッチング速度の増加を生じる。
【0044】
グラフ260は、CとNHの流速における変化の関数として炭素含有シリコン酸化物層のエッチング速度の変化を示す。エッチング速度は、約22,500Å/分から約27,500Å/分まで変化するように示されている。ここで、Cの増加は、炭素含有シリコン酸化物層のエッチング速度には明らかな変化を生じないが、一方、NHの増加はエッチング速度の減少を生じる。グラフ260に示されるように、CかNHのいずれか一方の流速が変化することは、炭素含有シリコン酸化物膜のエッチング速度に最小の影響を与える。
【0045】
要約すると、CとNHの相対量は、ホトレジストのマスク材料に対する炭素含有シリコン酸化物をエッチングするための高い選択度を与えるけれども、垂直エッチングプロフィールを維持するために注意深くバランスされる必要がある。シリコン酸化物膜の炭素の含有量は0から約20%まで変化された一連の実験に基づいて、われわれは、本発明の方法によってエッチングされるとき、高い炭素濃度を有する膜は高いエッチング速度有すること定めた。
【0046】
上述の好適な実施の形態は、本発明の範囲を限定することを意図したものでなく、本願の開示に照らして、特許請求の範囲に記載された本発明の主題と対応するように実施の形態を拡張することができる。
【図面の簡単な説明】
【図1】
図2に示されたデータの発生中に用いられる種類のIPSTMエッチング処理チャンバを示す。
【図2】
エッチングされたコンタクトビアのプロフィールテーパに関するプラズマに対するCおよびNHガスの供給速度における変化の影響;ホトレジストマスキング材料に対して炭素を含有するシリコン酸化物をエッチングするための優先度に関するエッチングの選択度;ホトレジストマスキング材料のエッチング速度;及び炭素を含有するシリコン酸化物のエッチング速度を示す。

Claims (20)

  1. 炭素を含有するシリコン酸化物膜をエッチングする方法であって、前記シリコン酸化物膜をNHおよびCを有するソースガスから生成されるプラズマに曝すステップを有することを特徴とする方法。
  2. 前記前記炭素を含有するシリコン酸化物膜は、約30原子%より少ない炭素を有することを特徴とする請求項1に記載の方法。
  3. 前記炭素を含有するシリコン酸化物膜は、さらに、約50原子%より少ない水素濃度の水素を有することを特徴とする請求項1に記載の方法。
  4. 前記ソースガスにおける炭素:窒素の原子比は、約3:1より少ないことを特徴とする請求項1に記載の方法。
  5. 前記ソースガスにおける炭素:窒素の原子比は、約0.5:1から1.4:1の範囲にあることを特徴とする請求項1に記載の方法。
  6. 前記ソースガスにおける炭素:窒素の原子比は、約0.6:1から1:1までの範囲にあることを特徴とする請求項5記載の方法。
  7. xは、約1から約6までの範囲にあり、yは、約4から約8までの範囲にあることを特徴とする請求項1に記載の方法。
  8. x=1〜6、およびy=4〜8であることを特徴とする請求項7に記載の方法。
  9. ガスは、C、C、C、C、C、及びそれらの組合せからなる群から選択されることを特徴とする請求項8に記載の方法。
  10. ガスは、C及びCからなる群から選択されることを特徴とする請求項8に記載の方法。
  11. 前記ソースガスは、さらに、約30体積%より少ない濃度でOを有することを特徴とする請求項1に記載の方法。
  12. 前記ソースガスは、さらに、アルゴン、ヘリウム、キセノン、クリプトン及びそれらの組合せからなる群から選択されることを特徴とする請求項1に記載の方法。
  13. 前記炭素を含有するシリコン酸化物膜は、少なくとも1.5μm/分の速度でエッチングされることを特徴とする請求項1に記載の方法。
  14. 上にあるホトレジスト層のエッチング速度に対する前記炭素を含有するシリコン酸化物膜のエッチング速度の比は、少なくとも10:1であることを特徴とする請求項1に記載の方法。
  15. 約−200Vから約−1000Vの範囲内にある基板バイアス電圧が前記方法の達成中に印加されることを特徴とする請求項1に記載の方法。
  16. 前記方法の達成中に基板処理領域内のプラズマ密度が約5×1011/cmから約5×1012/cmまでの範囲内にあることを特徴とする請求項1に記載の方法。
  17. 前記方法の達成中に加えられる全ソース電力が約800Wから約2000Wの範囲にあることを特徴とする請求項1に記載の方法。
  18. 炭素を含有するシリコン酸化物膜をエッチングする方法であって、NHとCを有するソースガスから生成されるプラズマに全シリコン酸化物膜を曝すステップを有し、
    前記ソースガスにおける炭素:窒素の原子比は約3:1より少なく、
    前記炭素を含有するシリコン酸化膜が約−200Vから約−1000Vの範囲内にバイアスされた基板上に存在し、且つ、
    プラズマソース電源が外部にある1つの装置及び前記エッチングが行われるプロセスチャンバの内部にある1つの装置を用いて加えられることを特徴とする方法。
  19. 加えられる前記プラズマソース電力の合計は、約800Wから約3000Wまでの範囲内にあることを特徴とする請求項18に記載の方法。
  20. 外部にある前記装置を用いて加えられるプラズマソース電力:内部にある前記装置を用いて加えられるプラズマソース電力は、2:1またはそれより高いことを特徴とする請求項19に記載の方法。
JP2002524207A 2000-08-29 2001-08-22 炭素を含有するシリコン酸化物膜をエッチングする方法 Withdrawn JP2004512673A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/650,975 US6607675B1 (en) 2000-08-29 2000-08-29 Method of etching carbon-containing silicon oxide films
PCT/US2001/026314 WO2002019408A2 (en) 2000-08-29 2001-08-22 Method of etching carbon-containing silicon oxide films

Publications (1)

Publication Number Publication Date
JP2004512673A true JP2004512673A (ja) 2004-04-22

Family

ID=24611082

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002524207A Withdrawn JP2004512673A (ja) 2000-08-29 2001-08-22 炭素を含有するシリコン酸化物膜をエッチングする方法

Country Status (6)

Country Link
US (1) US6607675B1 (ja)
EP (1) EP1232522A2 (ja)
JP (1) JP2004512673A (ja)
KR (1) KR100856005B1 (ja)
TW (1) TW526557B (ja)
WO (1) WO2002019408A2 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3400770B2 (ja) * 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US7311852B2 (en) * 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6905968B2 (en) * 2001-12-12 2005-06-14 Applied Materials, Inc. Process for selectively etching dielectric layers
JP4681215B2 (ja) * 2003-07-31 2011-05-11 株式会社アルバック 低誘電率層間絶縁膜のドライエッチング方法
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
EP1646083B1 (en) * 2004-10-08 2016-08-31 Imec Alternative dual damascene patterning approach
US7659206B2 (en) * 2005-01-18 2010-02-09 Applied Materials, Inc. Removal of silicon oxycarbide from substrates
US7208325B2 (en) * 2005-01-18 2007-04-24 Applied Materials, Inc. Refreshing wafers having low-k dielectric materials
US7465670B2 (en) * 2005-03-28 2008-12-16 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
KR100788380B1 (ko) * 2006-09-29 2008-01-02 동부일렉트로닉스 주식회사 반도체 소자 형성 방법
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US7878054B2 (en) * 2007-02-28 2011-02-01 The Boeing Company Barrier coatings for polymeric substrates
US8043981B2 (en) * 2009-04-21 2011-10-25 Applied Materials, Inc. Dual frequency low temperature oxidation of a semiconductor device
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
JPH02280355A (ja) 1989-04-20 1990-11-16 Matsushita Electron Corp 半導体装置
US5242538A (en) * 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP3453996B2 (ja) 1996-03-19 2003-10-06 ソニー株式会社 酸化シリコン系絶縁膜のプラズマエッチング方法
US5814563A (en) * 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6217786B1 (en) 1998-12-31 2001-04-17 Lam Research Corporation Mechanism for bow reduction and critical dimension control in etching silicon dioxide using hydrogen-containing additive gases in fluorocarbon gas chemistry
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6165891A (en) * 1999-11-22 2000-12-26 Chartered Semiconductor Manufacturing Ltd. Damascene structure with reduced capacitance using a carbon nitride, boron nitride, or boron carbon nitride passivation layer, etch stop layer, and/or cap layer
US6350670B1 (en) * 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6368979B1 (en) * 2000-06-28 2002-04-09 Lsi Logic Corporation Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure

Also Published As

Publication number Publication date
KR100856005B1 (ko) 2008-09-02
TW526557B (en) 2003-04-01
WO2002019408A3 (en) 2002-06-13
KR20020060957A (ko) 2002-07-19
EP1232522A2 (en) 2002-08-21
US6607675B1 (en) 2003-08-19
WO2002019408A2 (en) 2002-03-07

Similar Documents

Publication Publication Date Title
JP5894622B2 (ja) シリコン含有ハードマスクをエッチングする方法
KR101318898B1 (ko) 탄소계 하드마스크를 개방하기 위한 프로세스
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
JP5933694B2 (ja) ホウ素炭素膜をドライストリッピングする方法
US5843226A (en) Etch process for single crystal silicon
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
EP0814500B1 (en) Method for etching polycide structures
US7056830B2 (en) Method for plasma etching a dielectric layer
KR20040017805A (ko) 유기 반사방지 코팅(arc)층의 에칭 방법
JP2006066408A (ja) ドライエッチング方法
KR20020027323A (ko) 실리콘 산화물 및 반사방지용 유전체 코팅의 식각 방법
KR20030066673A (ko) 반도체 구조에서 텅스텐 또는 질화 텅스텐 전극 게이트식각 방법
KR20010032913A (ko) 기판으로부터의 유기성 반사 방지 코팅 에칭 시스템 및 방법
JP2004512673A (ja) 炭素を含有するシリコン酸化物膜をエッチングする方法
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
KR20030022272A (ko) 반도체 구조에서 텅스텐 또는 텅스텐 질화물 전극게이트를 에칭하는 방법
JPH1098029A (ja) 基板から有機反射防止膜をエッチングする処理法
US20030003374A1 (en) Etch process for photolithographic reticle manufacturing with improved etch bias
US6277759B1 (en) Plasma etching methods
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
JP5058406B2 (ja) 半導体装置の製造方法
US6812154B2 (en) Plasma etching methods
JP4643916B2 (ja) 層間絶縁膜のドライエッチング方法及びその装置
KR100190498B1 (ko) 다결정실리콘막의 에칭방법

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20081104