CN112151370A - 基片处理方法和基片处理装置 - Google Patents

基片处理方法和基片处理装置 Download PDF

Info

Publication number
CN112151370A
CN112151370A CN202010546551.3A CN202010546551A CN112151370A CN 112151370 A CN112151370 A CN 112151370A CN 202010546551 A CN202010546551 A CN 202010546551A CN 112151370 A CN112151370 A CN 112151370A
Authority
CN
China
Prior art keywords
film
substrate processing
processing method
etching
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010546551.3A
Other languages
English (en)
Inventor
饭岛祐树
久松亨
熊谷圭惠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112151370A publication Critical patent/CN112151370A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32394Treating interior parts of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供基片处理方法和基片处理装置。基片处理方法包括提供基片的工序和第1工序。在提供基片的工序中提供具有第1膜和第2膜的基片,该第2膜形成在该第1膜上并且形成有图案。在第1工序中,一边将第1处理气体等离子体化以在进行第2膜的溅射的同时蚀刻第1膜,一边在第1膜的侧壁由通过上述溅射产生的生成物形成保护膜。本发明能够控制通过蚀刻在基片形成的图案形状。

Description

基片处理方法和基片处理装置
技术领域
以下的发明涉及基片处理方法和基片处理装置。
背景技术
一直以来,在半导体装置的制造工艺中,在形成圆柱孔(cylinder hole)或接触孔等时,利用了等离子体蚀刻。近年来,在高高宽比的接触孔(HARC(High Aspect RatioContact))等的形成中,要求抑制弓形(bowing)等的形状异常的状况。
例如,提案有对于从下起依次层叠有有机膜、含硅膜、图案掩模的基片,在有机膜形成凹部之后,通过含硅膜的溅射在凹部内壁面形成保护膜的方法(专利文献1)。
现有技术文献
专利文献
专利文献1:日本特开2009-49141号公报
发明内容
发明要解决的技术问题
本发明提供一种能够控制通过蚀刻在基片形成的图案形状的技术。
用于解决技术问题的技术方案
本发明的一个方式的基片处理装置和基片处理方法包括提供基片的工序和第1工序。在提供基片的工序中,提供具有第1膜和第2膜的基片,该第2膜形成在该第1膜上并且形成有开口。在第1工序中,一边将第1处理气体等离子体化以在进行第2膜的溅射的同时蚀刻第1膜,一边在第1膜的侧壁由通过上述溅射产生的生成物形成保护膜。
发明效果
依照本发明,能够控制通过蚀刻在基片形成的图案形状。
附图说明
图1是表示一个实施方式的基片处理方法的流程的一例的流程图。
图2是用于说明通过一个实施方式的基片处理方法处理的层叠结构的一例的图。
图3是用于说明一个实施方式的基片处理方法的保护膜形成工序的图。
图4是用于说明一个实施方式的基片处理方法的蚀刻工序的图。
图5A是用于说明一个实施方式的基片处理方法的掩模层叠工序的图。
图5B是用于进一步说明一个实施方式的基片处理方法的掩模层叠工序的图。
图6A是用于说明一个实施方式的基片处理方法的堵塞物除去工序的图。
图6B是用于进一步说明一个实施方式的基片处理方法的堵塞物除去工序的图。
图7A是用于说明图1的处理流程的一例的图。
图7B是用于说明图1的处理流程的另一例的图。
图8是表示一个实施方式的基片处理方法的循环例1的流程图。
图9是表示一个实施方式的基片处理方法的循环例2的流程图。
图10是表示一个实施方式的基片处理方法的循环例3的流程图。
图11是表示一个实施方式的基片处理方法的循环的组合例流程图。
图12是用于说明通过一个实施方式的基片处理方法能够得到的效果的图。
图13是用于说明在一个实施方式的基片处理方法中,判断是否实施处理时使用的条件的图。
图14是表示用于实现一个实施方式的基片处理方法的基片处理装置的结构的一例的图。
图15A是用于说明半导体装置的制造工艺中形成的层叠结构的图。
图15B是用于说明半导体装置的制造工艺中形成的光致抗蚀剂的图案的图。
图15C是用于说明半导体装置的制造工艺中形成的含硅膜的图案的图。
图15D是用于说明半导体装置的制造工艺中形成的掩模图案的图。
附图标记说明
31 绝缘膜
32 有机膜(被蚀刻膜)
33 含硅膜
40 保护膜
100 基片处理装置
102 处理室
110 载置台
S 层叠结构
D 生成物
W 晶片。
具体实施方式
以下,基于附图,对本发明的实施方式详细地进行说明。此外,本实施方式并不是限定性的内容。另外,各实施方式在不使处理内容矛盾的范围内能够适当地组合。
(半导体装置的制造工艺中发生的形状异常的一例)
在对实施方式进行说明前,对在半导体装置的制造工艺中发生的形状异常进行说明。图15A是用于说明在半导体装置的制造工艺中形成的层叠结构的图。图15B、图15C、图15D分别是说明在半导体装置的制造工艺中形成的光致抗蚀剂的图案、含硅膜的图案、掩模图案的图。
首先,如图15A所示,例如,在绝缘膜11上层叠作为被蚀刻膜的有机膜12、含硅膜13和光致抗蚀剂(PR)14。在图15A的例子中,绝缘膜11例如是SiO2膜。有机膜12例如是以碳为主成分的膜。有机膜12例如是非晶碳膜(ACL:Amorphous Carbon Layer)。此外,含硅膜13是含有硅作为主成分的无机膜。含硅膜13例如是SiO2(二氧化硅)膜、SiN(氮化硅)膜、SiON(氮氧化硅)膜、Poly-Si(多晶硅)膜、SiCN(碳氮化硅)膜。含硅膜13可以用通过光刻工序作为防反射膜(Arc:Anti-Reflection Coating)发挥作用的材料形成。
在形成了图15A中所示的层叠结构之后,如图15B所示,通过光刻在光致抗蚀剂14形成图案。光致抗蚀剂14可以使用极紫外光刻(EUVL:Extreme Ultraviolet Lithography)进行加工。
接着,从光致抗蚀剂14的图案上将CF4等蚀刻气体等离子体化来实施蚀刻。通过沿光致抗蚀剂14的图案削去含硅膜13,在含硅膜13形成图15C所示的图案。然后,从形成于含硅膜13的图案上将O2气体、H2气体、N2气体、或者H2气体与N2气体的混合气体等等离子体化来蚀刻有机膜12。如图15D所示,形成于有机膜12的掩模图案成为蚀刻有机膜12下的绝缘膜11时的掩模图案。
在有机膜12的蚀刻中,有机膜12利用氧自由基各向同性地进行蚀刻,如图15D所示,掩模图案的纵截面形成为横向变宽的弓形20。若使用形成有弓形20的掩模图案来蚀刻绝缘膜11,则有机膜12的形状被转印到绝缘膜11,在绝缘膜11形成的孔的形状恶化。
(实施方式)
因此,在以下说明的实施方式中,将含硅膜作为硬掩模来蚀刻有机膜时,将通过含硅膜的溅射产生的生成物(沉积物)用作有机膜的保护膜。在第1实施方式的基片处理方法中,使形成保护膜的区域成为例如形成于有机膜的图案中至少高宽比为5,比如高宽比为5~7程度的区域。另外,在第1实施方式的基片处理方法中,通过组合多个处理工序,以控制通过蚀刻在基片形成的图案的形状。在工序之一中,例如实现利用由溅射生成的溅射生成物进行的图案的侧壁保护。
一个实施方式的基片处理方法中,对于在基片上依次层叠有绝缘膜31、有机膜32、含硅膜33的层叠结构S(参照图2),在有机膜32形成用于蚀刻绝缘膜31的图案。图2是用于说明通过一个实施方式的基片处理方法处理的层叠结构的一例的图。
绝缘膜31例如为SiO2膜。通过从形成于有机膜32的图案上实施蚀刻,在绝缘膜31形成规定的图案。形成于绝缘膜31的图案成为半导体装置的圆柱孔、接触孔等。
有机膜32例如是以碳为主成分的膜。有机膜32例如是非晶碳膜。本实施方式的基片处理方法主要控制形成于有机膜32的图案的形状。
含硅膜33例如是SiO2膜、SiN膜、SiON膜、Poly-Si膜、SiCN膜。含硅膜33可以通过光刻工序由作为防反射膜发挥作用的材料形成。含硅膜33在蚀刻有机膜32时作为硬掩模发挥作用。在含硅膜33中形成有规定的图案。以下,将形成于含硅膜33的图案称为“开口”。
一个实施方式的基片处理方法通过组合下述(1)~(4)的工序控制来控制要在有机膜32形成的图案。
(1)保护膜形成工序
(2)蚀刻工序
(3)掩模层叠工序
(4)堵塞物除去工序
(保护膜形成工序)
在保护膜形成工序中,将处理气体等离子体化,一边溅射含硅膜33一边蚀刻有机膜32。通过溅射生成的含硅膜33的溅射生成物沉积在形成于有机膜32的凹部的侧壁,形成保护膜40。在保护膜形成工序中使用的理气体是第1处理气体的一例。另外,保护膜形成工序是第1工序的一例。
图3是用于说明一个实施方式的基片处理方法的保护膜形成工序的图。对于图2所示的层叠结构S实施了保护膜形成工序。在保护膜形成工序中,例如将含氢(H2)的处理气体等离子体化,以使层叠结构S暴露于等离子体。含硅膜33通过暴露于H2的等离子体而被溅射,因溅射而飞散的溅射生成物沉积在有机膜32的凹部侧壁上。因此,图3的层叠结构S包括沉积于含硅膜33和有机膜32的凹部侧壁上的保护膜40。在保护膜形成工序中,利用处理气体的等离子体,同时进行通过含硅膜33的溅射进行的保护膜40的形成、和有机膜32的凹部的蚀刻。此外,处理气体可以是仅是H2,也可以是N2(氮)气体和H2气体的混合气体。
(蚀刻工序)
图4是用于说明一个实施方式的基片处理方法的蚀刻工序的图。在蚀刻工序中,将处理气体等离子体化,以含硅膜33作为掩模将有机膜32在深度方向上进行蚀刻。在蚀刻工序中使用的处理气体是第2处理气体的一例。另外,蚀刻工序是第2工序的一例。有机膜32的蚀刻使用O2(氧)气体和COS(硫化羰)气体的混合化气体来实施。此外,在处理气体中,也可以添加Cl2(卤素)、HBr(溴化氢)等。处理气体主要为蚀刻有机膜32而调制。通过实施蚀刻工序,作为硬掩模的含硅膜33逐渐被除去,在有机膜32形成与含硅膜33的开口相应的凹部,凹部逐渐变深。
(掩模层叠工序)
在掩模层叠工序中,例如通过各向异性成膜使含硅膜33的膜厚增加。掩模层叠工序是第3工序的一例。图5A和图5B是用于说明一个实施方式的基片处理方法的掩模层叠工序的图。如图5A所示,实施了保护膜形成工序的保护膜形成、蚀刻工序的蚀刻后,含硅膜33的膜厚逐渐减小。在形成于有机膜32的图案的高宽比较高的情况下,在图案底部达到绝缘膜31之前,作为硬掩模的含硅膜33有可能消失。因此,掩模层叠工序从含硅膜33上使用第3处理气体的等离子体进行成膜。成膜条件各向异性地设定。即,以主要在含硅膜33的顶部进行成膜,且不堵塞开口的方式设定条件(参照图5B)。
作为掩模层叠工序中的第3处理气体,例如,能够使用SiCl4或者SiF4等卤化硅气体、氩(Ar)等稀有气体、和O2的混合气体。将第3处理气体等离子体化,使层叠结构S暴露于等离子体。成膜的方法没有特别的限定,能够使用化学气相生长(CVD:Chemical VaporDeposition)、物理吸附(PVD:Physical Vapor Deposition)、原子层沉积(ALD:AtomicLayer Deposition)、DCS(Direct Current Superposition:直流叠加)等。此外,在掩模层叠工序中形成的膜的组成不需要与含硅膜33相同。在掩模层叠工序中形成的膜例如可以是与含硅膜33不同的组成的含硅膜。另外,在掩模层叠工序中形成的膜只要是有机膜32和能够获得高选择比的材料即可,也可以不是含硅膜。
(堵塞物除去工序)
图6A和图6B是用于说明一个实施方式的基片处理方法的堵塞物除去工序的图。在堵塞物除去工序中,利用含氟(F)的处理气体除去在保护膜形成工序、蚀刻工序和掩模层叠工序的任一者中产生的堵塞开口和/或图案的上部的堵塞物。在堵塞物除去工序中使用的处理气体是第4处理气体的一例。此外,堵塞物除去工序是第4工序的一例。如图6A所示,在层叠结构S上形成图案的过程中,存在在开口的侧壁附着由于蚀刻产生的生成物(图6A的(1)的D)而逐渐堵塞开口的情况。此外,在掩模层叠中生成物(图6A的(2)的D’)也在水平方向上生长,存在开口或图案上部逐渐被堵塞的情况。因此,在堵塞物除去工序中,将堵塞开口或图案的堵塞物(生成物)用含氟气体的等离子体除去。通过除去生成物D或者生成物D’的一部分,含硅膜33的开口尺寸恢复(图6B的(1)和(2)),在后续的工序(例如蚀刻工序)中能够使处理气体遍及至图案底部。此外,在堵塞物除去工序中使用的处理气体例如可以是CHF3、CF4等含氟气体、与N2气体或者氩(Ar)气体等稀有气体的混合气体。
接着,利用图1和图7A,对一个实施方式的基片处理方法进行说明。图1是表示一个实施方式的基片处理方法的流程的一例的流程图。图7A是用于说明图1的处理流程的一例的图。
首先,准备层叠结构S(步骤S100,图7A的(1))。接着,判断层叠结构S是否满足条件A(步骤S101)。条件A例如是有机膜32上的含硅膜33的膜厚为规定值以上。通常,在第一次的循环中层叠结构S满足条件A(步骤S101为“是”),因此不实施掩模层叠工序,而前进至步骤S103。另一方面,在第二次以后的循环中,在判断为不满足条件A的情况下(步骤S101为“否”),实施掩模层叠工序(步骤S102)。
在步骤S103中,判断层叠结构S是否满足条件B。条件B例如是形成于有机膜32的图案的高宽比达到了规定值α。规定值α例如是5。此外,例如条件B是在形成于有机膜32的图案形成有保护膜40(参照图3)。
在判断为不满足条件B的情况下(步骤S103为“否”),实施保护膜形成工序(步骤S104,图7A的(2))。例如,在有机膜32没有形成图案的状态时,判断为不满足条件B。通过实施保护膜形成工序,在进行有机膜32的蚀刻的同时,通过含硅膜33的溅射产生的含硅膜33的溅射生成物沉积在形成于有机膜32的图案(凹部)的侧壁,形成保护膜40。当步骤S104结束时,返回步骤S101反复进行处理。这时,通过实施保护膜形成工序而含硅膜33的膜厚减少,在判断为不满足条件A的情况下(步骤SS101为“否”),实施掩模层叠工序(步骤S102)。
另一方面,在判断为满足条件B的情况下(步骤S103为“是”),前进到步骤S105。例如,在形成于有机膜32的图案的高宽比为6的情况下,判断为满足条件B。另外,例如通过实施多次保护膜形成工序而形成于有机膜32的图案的高宽比达到5时,判断为满足条件B。在判断为满足条件B的情况下,进入步骤S105。
此外,在一个实施方式中,一旦满足条件B时,在之后的工序中也可以不形成保护膜。在该情况下,一旦前进到步骤S105后,再次的步骤S103的判断成为“是”。但是,通过反复实施步骤S106,保护膜40被削去,变成不满足条件B的情况下(步骤S103为“否”),也可以实施保护膜形成工序(步骤S104)。
在步骤S105中,判断层叠结构S是否满足条件C。条件C例如是含硅膜33的开口尺寸为规定尺寸以上。当判断为不满足条件C的情况下(步骤S105为“否”),实施堵塞物除去工序(步骤S106)。当步骤S106结束时,返回步骤S101反复进行处理。另一方面,当判断为满足条件C的情况下(步骤S105为“是”),前进到下一步骤S107。
在步骤S107中,判断层叠结构S是否满足条件D。条件D例如是形成于有机膜32的图案的高宽比为不同于α的规定值β以上。规定值β例如是20~30。在判断为不满足条件D的情况下(步骤S107为“否”),实施蚀刻工序(步骤S108,图7A的(3))。当步骤S108结束后,返回步骤S101反复进行处理。这时,通过实施步骤S104和步骤S108而含硅膜33的膜厚减少,存在判断为不满足条件A的情况(步骤S101为“否”)。在该情况下,实施掩模层叠工序(步骤S102,图7A的(4))。另外,通过实施步骤S102和步骤S108而含硅膜33的开口尺寸减小,存在判断为不满足条件C的情况(步骤S106为“否”)。在该情况下,实施堵塞物除去工序(步骤S106,图7A的(5))。
通过反复实施以上的处理,最终在步骤S107中,判断为满足条件D的情况下(步骤S107为“是”),结束处理。
图7B是用于说明图1的处理流程的另一例的图。在图7B的(1)中,层叠结构S的含硅膜33被削去得相当多,但使之不变成小于条件A的规定值(步骤S101为“是”)。此外,使掩模图案的高宽比小于规定值β(步骤S107为“否”)。因此,实施蚀刻工序来蚀刻掩模图案(步骤S108)。蚀刻的结果是,使得含硅膜33被削去而膜厚变得小于规定值(步骤S101为“否”)。因此,实施掩模层叠工序来层叠掩模(图7B的(2))。当成为满足条件A~D的状态时,层叠结构S的处理结束。
像这样,依照图1所示的基片处理方法,配合层叠结构S的处理后的状态选择接下来要实施的工序。因此,通过适当地设定判断是否要实施各工序的条件A~D,选择性地实施保护膜形成工序、蚀刻工序、掩模层叠工序和堵塞物除去工序,由此能够实施适合于层叠结构S的状态的处理。此外,是否满足条件A~D的判断,通过预先设定直至满足条件A~D为止要实施的各工序的次数和适用于各工序的处理条件来实施。例如,可以根据各工序的实施次数,判断是否满足条件A~D。
此外,在图1的例子中,根据条件A~D判断了是否要实施保护膜形成工序、蚀刻工序、掩模层叠工序和堵塞物除去工序之后,来实施各工序。但是,本实施方式的基片处理方法的流程并不限定于图1所示的流程。例如,可以预先设定保护膜形成工序、蚀刻工序、掩模层叠工序和堵塞物除去工序中的要组合的工序、实施所组合的工序的顺序及次数。例如,可以预先设定组合了保护膜形成工序、蚀刻工序、掩模层叠工序和堵塞物除去工序的循环,并将各循环执行预先所设定的次数。
图8是表示一个实施方式的基片处理方法的循环例1的流程图。循环例1包括保护膜形成工序(步骤S61)和掩模层叠工序(步骤S62)。循环例1首先通过保护膜形成工序在有机膜32的侧壁上形成保护膜。之后,通过掩模层叠工序使因保护膜形成工序的溅射而减少的硬掩模(含硅膜33)的掩模高恢复。此外,在图8~图10中,“Pre-C”表示之前的循环,“Post-C”表示后续的循环。
图9是表示一个实施方式的基片处理方法的循环例2的流程图。循环例2包括蚀刻工序(步骤S71)和堵塞物除去工序(步骤S72)。循环例2首先通过有机膜32的蚀刻来形成有机膜32的图案。之后,通过堵塞物除去工序除去由蚀刻工序沉积于开口的侧壁、图案上部的侧壁的生成物。
图10是表示一个实施方式的基片处理方法的循环例3的流程图。循环例3包括掩模层叠工序(步骤S81)、蚀刻工序(步骤S82)和堵塞物除去工序(步骤S83)。循环例3首先使减少的硬掩模(含硅膜33)的掩模高度恢复。之后,在深度方向上蚀刻有机膜32的图案。并且,通过蚀刻将沉积于开口的侧壁、图案上部的侧壁的生成物除去。
根据形成于有机膜32的图案的深度,将循环例1~3相组合,由此能够控制图案的形状。例如,在有机膜32还未被蚀刻的阶段,实施循环例1。如此,能够一边抑制有机膜32的弓形地对有机膜32进行蚀刻,一边由含硅膜33的溅射生成物形成保护膜。并且,能够通过掩模层叠工序加强因溅射而消失的含硅膜33的顶部。接着,在有机膜32的蚀刻进行的阶段例如到达容易发生弓形的区域的阶段,实施循环例2。如此,容易发生弓形的含硅膜33正下方由保护膜40保护,因此能够一边抑制有机膜32的弓形一边使蚀刻进行。并且,沉积在开口的侧壁、图案上部的侧壁的蚀刻生成物能够通过堵塞物除去工序除去。当有机膜32的蚀刻进一步进行时,硬掩模再次减少,因此实施循环例3。通过循环例3,在层叠了硬掩模的基础上进行蚀刻,并且能够抑制图案顶部的开口的堵塞。
像这样,通过将组合保护膜形成工序、蚀刻工序、掩模层叠工序和堵塞物除去工序而成的循环,配合形成在层叠结构S的图案的状态例如配合图案的深度进行组合,由此能够控制图案的形状。
图11是表示一个实施方式的基片处理方法的循环的组合例的流程图。在图11的例子中,将上述循环例1实施了规定次数例如5次之后,将循环例2实施规定次数例如3次,将循环例3实施规定次数例如4次。此外,改变循环例3的处理条件。例如实施4次循环例3,期间在最初的3次与最后1次之间进行改变。
首先,当开始处理时,实施循环例1(步骤S901)。然后判断是否将循环例1实施了规定次数(步骤S902)。当判断为没有实施规定次数时(步骤S902为“否”),返回步骤S901反复实施循环例1。另一方面,当判断为实施了规定次数时(步骤S902为“否”),前进到步骤S903实施循环例2。然后,判断是否将循环例2实施了规定次数(步骤S904)。在判断为没有实施规定次数时(步骤S904为“否”),返回步骤S903反复实施循环例2。另一方面,当判断为实施了规定次数时(步骤S904为“是”),前进到步骤S905实施循环例3。在此,步骤S905的循环例3根据处理条件1实施。例如,在处理条件1中,将掩模层叠工序的处理时间设为10秒。接着,判断基于处理条件1的循环例3是否实施了规定次数(步骤S906)。在判断为没有实施规定次数时(步骤S906为“否”),返回步骤S905反复实施循环例3。另一方面,当判断为实施了规定次数时(步骤S906为“是”),前进到步骤S907实施循环例3。步骤S907的循环例3根据处理条件2实施。例如,在处理条件2中,将掩模层叠工序的处理时间设为20秒。然后,判断基于处理条件2的循环例3是否实施了规定次数(步骤S908)。当判断为没有实施规定次数时(步骤S908为“否”),返回步骤S907反复实施循环例3。另一方面,在判断为已实施了规定次数时(步骤S908为“是”),结束处理。
像这样,实施方式的基片处理方法,根据形成于层叠结构S的图案的处理状态,例如根据蚀刻的进行状态,改变要实施的工序和处理条件。另外,通过反复实施集中了多个工序的循环,能够使处理简单化。
(验证结果)
图12是用于说明通过一个实施方式的基片处理方法得到的效果的图。图12中,(A)表示在有机膜32上的含硅膜33形成有图案的状态(对应于图2)。(A)中,用箭头示出了绝缘膜31、有机膜32、含硅膜33的位置。此外,(B)表示对(A)所示的层叠结构没有形成保护膜(图3的40)而实施了蚀刻工序的情况下的被处理体(比较例)的状态。此外,(C)表示对于(A)所示的层叠结构通过上述实施方式的基片处理方法形成了有机膜的图案的情况下的被处理体(实施例)的状态。
被处理体各自形成为包含绝缘膜31(被蚀刻膜)、形成在绝缘膜31上的有机膜32(掩模)、形成在有机膜32上的含硅膜33(硬掩模)。之后,如图12的(A)所示在含硅膜33形成有开口。在(A)中,由于蚀刻的影响,有机膜32也被削去一些。
首先,在比较例(B)中,将O2气体与COS气体的混合气体以流量250/50的比例供给到腔室内,将含硅膜33作为硬掩模对有机膜32进行了蚀刻。腔室内设定为20mTorr,且上部电极和下部电极的电压为1400W和500W。此外,将上部电极和下部电极的频率分别设为27MHz、13MHz。此外,将腔室的上部、侧壁、下部的温度(T/W/B)分别设定为120℃、100℃、10℃。另外,将300秒作为1次蚀刻工序,实施了1次蚀刻工序。
在实施例(C)中,按图11所示的组合实施了循环例1~3。具体而言,依次地将循环例1实施了5次、循环例2实施了3次、循环例3中使堵塞物除去工序的处理时间为10秒实施了3次、使掩模层叠工序的处理时间为20秒实施了1次。
保护膜形成工序、蚀刻工序、掩模层叠工序和堵塞物除去工序各自的处理条件如下所述。
保护膜形成工序:
腔室内压力:20mTorr
上部电极和下部电极的电压:0W+900W
H2气体流量:250sccm
腔室内温度:T/W/B=120℃/100℃/10℃
处理时间:120秒
蚀刻工序:
腔室内压力:20mTorr
处理气体流量:O2/CO2/=250sccm/50sccm
腔室内温度:T/W/B=120℃/100℃/10℃
处理时间:100秒
掩模层叠工序:
腔室内压力:20mTorr
上部电极和下部电极的电压:800W+0W
处理气体流量:Ar/O2/SiCl4=500sccm/100sccm/20sccm
腔室内温度:T/W/B=120℃/100℃/10℃
堵塞物除去工序:
腔室内压力:30mTorr
上部电极和下部电极的电压:300W+140W
处理气体流量:CHF3/CF4/N2=300sccm/100sccm/150sccm
腔室内温度T/W/B=120℃/100℃/10℃
处理时间:30秒
通过比较例的处理,得到了图12的(B)所示的被处理体。根据图12的(B)可知,在比较例中,在有机膜32的上部产生了弓形(由虚线矩形表示的部位)。因此,形成于有机膜32的图案整体上成为从上向下而前端越来越细的形状,在图案底部开口尺寸稍微变窄(大于78nm)。此外,图案的纵向尺寸大约为2500nm。
与此相对,在实施例(图12的(C))中,首先,通过循环例1形成保护膜40,在有机膜32的上部形成了(C)的左侧所示的图案(参照图12的(C)左侧的图。)。在高宽比成为大于5后,实施循环例2、3完成有机膜32的图案(参照图12的(C)右侧的图)。
根据图12的(C)可知,在实施例中,在有机膜32的上部几乎不产生弓形,横向的扩展与比较例相比大幅地减少(由虚线矩形表示的部位)。具体而言,在比较例中大约为170nm的弓形的横向尺寸在实施例中被改善为大约105nm。此外,形成于有机膜32的图案整体上被抑制为从上向下的锥形,图案底部的开口尺寸与比较例相比得到了改善(大约87nm)。
此外,在上述实施方式的保护膜形成工序中,作为处理气体使用了氢(H2)气。但是,即使是氢气以外的处理气体,如果保护膜形成的进行速度比在进行蚀刻后产生弓形的速度快,则在保护膜形成工序中也能够作为处理气体使用。
(形成保护膜的区域的一例)
发明人还对在是否实施保护膜形成工序的判断中使用的条件进行了研讨。图13是用于说明在一个实施方式的基片处理方法中,判断是否实施处理时使用的条件的图。参照图13,对是否实施保护膜形成工序的判断的条件B进行说明。
图13所示的6个图案(A)~(F)分别表示以下的状态的一例。
(A)紧接着在层叠结构S的含硅膜33形成了图案后的状态1
(B)对状态1的图案实施了30秒保护膜形成工序后的状态2
(C)对状态2的图案实施了300秒蚀刻工序后的状态3
(D)对状态1的图案实施了120秒保护膜形成工序后的状态4
(E)对状态4的图案实施了300秒蚀刻工序后的状态5
(F)对状态1的图案实施了600秒保护膜形成工序后的状态6
此外,在图13的例子中,保护膜形成工序和蚀刻工序的处理条件如以下所述。
保护膜形成工序:
腔室内压力:20mTorr
上部电极和下部电极的电压:0W+900W
处理气体流量:H2=250
腔室内温度:T/W/B=120℃/100℃/10℃
蚀刻工序:
腔室内压力:20mTorr
上部电极和下部电极的电压:1400W+500W
处理气体流量:O2/CO2=250sccm/50sccm
腔室内温度:T/W/B=120℃/100℃/10℃
在图13的(A)所示的状态1中,在层叠结构S的含硅膜33中形成有从上部向下部而前端越来越细的开口。图13的例子所示的含硅膜33的膜厚为大约215纳米。对于这样的层叠结构S,在上述处理条件下实施30秒保护膜形成工序后,如(B)所示,有机膜32被蚀刻,并且在通过蚀刻形成的开口的侧壁形成保护膜40。
当对状态2的层叠结构S实施了300秒蚀刻工序后,从状态2的形成于有机膜32的开口部分的正下方产生向横向扩展的弓形,有机膜32被削成(C)所示的形状。在状态3中,形成于有机膜32的开口,在形成有保护膜40的位置(图13中由A1表示的部位)不产生弓形,而从保护膜40的正下方起产生了弓形。
当将保护膜形成工序的处理时间从30秒延长至120秒时,形成于有机膜32的开口的深度增加,与此相伴,形成保护膜40的区域在深度方向上扩展(图13的(D))。在(D)所示的状态4中,保护膜40从有机膜32的顶部形成至大约130纳米的深度(参照图13中由A2表示的部位)。对于状态4的层叠结构S,当在上述处理条件下实施了300秒蚀刻工序后,如(E)所示,产生弓形的位置与(C)的例子相比向下移动,弓形的产生量减少了。像这样,可知通过形成保护膜40,能够控制弓形的位置和产生量。但是,在(E)的例子中,蚀刻工序的结果是,起到掩模的作用的含硅膜33被削去,并且由附着在顶部的沉积物堵塞了开口。
另外,如(F)所示,当在状态1的层叠结构S在上述处理条件下实施了600秒保护膜形成工序后,形成于有机膜32的保护膜40达到了开口中大约380纳米的深度。但是,保护膜形成工序的处理时间变长,并且含硅膜33被削去的量也增加。在(F)所示的例子中,含硅膜33的掩模部分在厚度方向上被削去并且成为形状变形、表面粗糙的状态。
像这样,形成于有机膜32的图案的高宽比较大的情况下,仅反复实施保护膜形成工序和蚀刻工序,产生含硅膜33的掩模图案的消失和开口堵塞的问题。另一方面,本发明人发现,上述实验等结果是,形成于有机膜32的图案例如直径为100纳米、深度为2000~3000纳米程度的大小的情况下,通过在开口上部形成保护膜,能够有效地抑制弓形。例如,在直径为100纳米的开口的情况下,通过在从顶部至大约500纳米程度的区域形成保护膜40,能够大幅降低弓形。另外,通过在高宽比6.7程度的区域形成保护膜40,能够大幅降低弓形。由此,发明人得出的结论是,通过将保护膜40形成至高宽比5~7程度,能够改善图案形状。
(基片处理装置的结构例)
图14是表示一个实施方式的基片处理装置100的概略结构的截面图。基片处理装置100包括金属制(例如铝制)的形成为筒状(例如圆筒状)的处理室(腔室)102。
在处理室102的底部,设置有用于载置晶片W的载置台110。载置台110由铝等成形为大致柱状(例如圆柱状)。此外,虽然未图示,但在载置台110能够设置利用静电力吸附并保持晶片W静电吸盘、加热器和致冷剂流路等温度调节机构等,根据需要设置各种功能。在蚀刻装置的情况下,对载置台110施加用于将离子引入晶片W的偏置高频。
在处理室102的顶部,由例如石英玻璃或陶瓷等构成的板状电介质104以与载置台110相对的方式设置。具体而言,板状电介质104例如形成为圆板状,以封闭形成于处理室102的顶部的开口的方式气密地安装。
在处理室102,设置有供给用于处理晶片W的处理气体等的气体供给部120。在处理室102的侧壁部形成有气体导入口121,在气体导入口121经由气体供给配管123连接有气体供给源122。气体供给配管123的中途设置有控制处理气体的流量的流量控制器例如质量流量控制器(MFC)124、开闭阀126。利用这样的气体供给部120,来自气体供给源122的处理气体由质量流量控制器124控制为规定的流量,从气体导入口121被供给到处理室102内。
在图14中为了简化说明,将气体供给部120用一个系统的气体管路表现,但气体供给部120并不限定于供给一种处理气体的情况,也可以将多种气体作为处理气体来供给。在该情况下,也可以设置多个气体供给源并由多个系统的气体管路构成,在各气体管路设置质量流量控制器。此外,在图14中举例表示了气体供给部120构成为能够从处理室102的侧壁部供给气体的情况的例子,但是并不必须限定于此。例如也可以构成为能够从处理室102的顶部供给气体。在该情况下,例如也可以在板状电介质104的例如中央部形成气体导入口,从该气体导入口供给气体。
作为通过这样的气体供给部120供给到处理室102内的处理气体,例如在氧化膜的蚀刻中能够使用包含Cl或F等的卤素类气体。具体而言,在蚀刻SiO2膜等的硅氧化膜的情况下,能够将CxFy、CHF3气体等的碳氟化合物气体用作处理气体。
在处理室102的底部经由排气管132连接有能够排出处理室102内的气氛的排气部130。排气部130例如包括真空泵,能够将处理室102内减压至规定的压力。在处理室102的侧壁部形成晶片送入送出口134,在晶片送入送出口134设置有闸阀136。例如在送入晶片W时,打开闸阀136利用未图示的运送臂等的运送机构将晶片W载置在处理室102内的载置台110上,关闭闸阀136进行晶片W的处理。
在处理室102的顶部,在板状电介质104的上侧面(外侧面)设置有平面状的高频天线140和覆盖高频天线140的屏蔽部件160。本实施方式中的高频天线140,大致划分,包括配置于板状电介质104的中央部的内侧天线元件142A和以包围其外周的方式配置的外侧天线元件142B。各天线元件142A、142B分别形成为例如由铜、铝、不锈钢等导体构成的螺旋线圈状。
本实施方式的屏蔽部件160包括:以包围内侧天线元件142A的方式设置于各天线元件142A、142B之间的筒状的内侧屏蔽壁162A;和以包围外侧天线元件142B的方式设置的筒状的外侧屏蔽壁162B。由此,板状电介质104的上侧面被分为内侧屏蔽壁162A的内侧的中央部(中央区域)和各屏蔽壁162A、162B之间的周边缘部(周边缘区域)。
在内侧天线元件142A上,以封闭内侧屏蔽壁162A的开口的方式设置有圆板状的内侧屏蔽板164A。在外侧天线元件142B上,以封闭各屏蔽壁162A、162B之间的开口的方式设置有圆环板状的外侧屏蔽板164B。
此外,屏蔽部件160的形状并不限定于圆筒状。可以使屏蔽部件160的形状形成为例如方筒状等其它形状,优选与处理室102的形状匹配。在此,例如因为使处理室102形成为大致圆筒状,所以屏蔽部件160与其相匹配地也形成为大致圆筒状。
在各天线元件142A、142B分别连接有高频电源150A、150B。由此,能够对各天线元件142A、142B施加相同频率或不同频率的高频。例如当从高频电源150A对内侧天线元件142A以规定的功率供给规定频率(例如40MHz)的高频时,在处理室102内形成感应电场。利用所形成的感应电场,激励被导入处理室102内的处理气体,在晶片W上的中央部生成圆环形的等离子体。
另外,当从高频电源150B以规定的功率对外侧天线元件142B供给规定频率(例如60MHz)的高频时,在处理室102内形成感应电场。利用所形成的感应电场,激励被导入到处理室102内的处理气体,在晶片W上的周边缘部生成另外的圆环形的等离子体。
利用这些等离子体能够实施灰化处理、蚀刻处理、成膜处理等的对晶片的规定的等离子体处理。从各高频电源150A、150B输出的高频并不限定于上述的频率。例如能够供给13.56MHz、27MHz、40MHz、60MHz等各种各样的频率的高频。但是,需要根据从高频电源150A、150B输出的高频来调节各天线元件142A、142B的电学长度。
在基片处理装置100连接有控制部(整体控制装置)200,通过该控制部200能够控制基片处理装置100的各部。另外,在控制部200连接有操作部210,该操作部210包括操作者为了管理基片处理装置100而进行命令的输入操作等的键盘和将基片处理装置100的工作状况可视化显示的显示器等。
并且,在控制部200连接有存储部220,其存储有用于通过控制部200的控制实现由基片处理装置100实施的各种处理的程序和为了执行程序所需的方案数据等。
在存储部220中,例如除了用于实施晶片W的处理工艺的多个处理工艺方案以外,还存储有用于进行处理室102内的清洁处理等处理的方案等。这些方案是汇集了控制基片处理装置100的各部的控制参数、设定参数等多个参数值的方案。例如处理工艺方案中,具有例如处理气体的流量比、处理室102内的压力、施加到各天线元件142A、142B的高频的频率及功率等的参数值。
此外,这些方案可以存储在硬盘或半导体存储器中,也可以在保存于由CD-ROM、DVD等可移动的计算机可读取的存储介质的状态下设置在存储部220的规定位置。
控制部200基于来自操作部210的指示等从存储部220读取所希望的处理工艺方案以控制各部,由此实施基片处理装置100中的所希望的处理。另外,通过来自操作部210的操作能够编辑方案。
此外,在此作为一例给出ICP(Inductively Coupled Plasma:电感耦合等离子体)装置,但基片处理装置100也可以是CCP(Capacitively Coupled Plasma:电容耦合等离子体)装置。另外,除了设置在处理室102的顶部的高频天线140以外,也可以对构成载置台110的下部电极供给高频电功率,生成等离子体。
(实施方式的效果)
上述实施方式的基片处理方法包括提供基片的工序和第1工序。在提供基片的工序中,提供具有第1膜和第2膜的基片,上述第2膜形成在该第1膜上并且形成有开口。在第1工序中,一边将第1处理气体等离子体化以在溅射第2膜的同时蚀刻第1膜,一边在第1膜的侧壁由通过上述溅射产生的生成物形成保护膜。实施方式的基片处理方法能够使通过第2膜的溅射进行的保护膜形成和第1膜的蚀刻并行地进行。因此,依照实施方式,能够一边抑制第1膜(有机膜)的弓形一边蚀刻第1膜。此外,依照实施方式的基片处理方法,由于能够在容易产生弓形的部位形成保护膜,所以能够有效地抑制弓形。也可以为,实施第1工序例如至形成于第1膜的图案的高宽比至少成为5为止。
另外,也可以为,在上述实施方式的基片处理方法中,还包括第2工序,该第2工序将第2处理气体等离子体化以第2膜为掩模蚀刻第1膜。
另外,也可以为,在上述实施方式的基片处理方法中,还包括第3工序,该第3工序将第3处理气体等离子体化以在第2膜的顶部形成含硅膜。因此,依照上述实施方式,在作为掩模发挥作用的第2膜减少了的情况下,通过第3工序层叠第2膜,能够继续进行蚀刻。因此,依照实施方式,能够在第1膜形成高高宽比的图案。
另外,也可以为,在上述实施方式的基片处理方法中,还包括第4工序,该第4工序将第4处理气体等离子体化,以除去第1工序、第2工序和第3工序的任意者中产生的堵塞开口和/或图案上部的堵塞物除去。因此,依照上述实施方式,存在开口、图案上部被沉积物堵塞的可能性的情况下,通过适当实施第4工序,能够一边抑制开口堵塞一边实施蚀刻。
另外,也可以为,在上述实施方式的基片处理方法中,将选自第2工序、第3工序和第4工序的1个以上的任意工序组合而成的多个循环按规定的顺序和次数实施。因此,依照上述实施方式,能够实施适合于蚀刻的进行程度的工序,控制通过蚀刻形成的形状。
另外,也可以为,在上述实施方式的基片处理方法中,在第1膜形成具有20以上的高宽比的图案。
另外,也可以为,在上述实施方式的基片处理方法中,将作为含氢气体的第1处理气体等离子体化,以溅射作为防反射膜的第2膜。
另外,也可以为,在上述实施方式的基片处理方法中,还包含以第1膜为掩模对氧化硅膜和氮氧化硅膜的交替层叠部进行蚀刻的工序。
另外,上述实施方式的基片处理装置包括:存储实施上述基片处理方法的程序的存储部;和进行控制以实施该程序的控制部。因此,依照实施方式,能够控制通过蚀刻在基片形成的图案形状。
本发明的实施方式的全部内容应理解为例示而不是限定性的内容。上述的实施方式只要不脱离附加的权利要求的范围及其主旨,就能够以各种方式进行省略、置换、改变。

Claims (10)

1.一种基片处理方法,其特征在于,包括:
提供具有第1膜和第2膜的基片的工序,所述第2膜形成在该第1膜上且形成有开口;和
第1工序,其一边将第1处理气体等离子体化以在进行所述第2膜的溅射的同时蚀刻所述第1膜,一边在所述第1膜的侧壁由通过所述溅射产生的生成物形成保护膜。
2.如权利要求1所述的基片处理方法,其特征在于:
实施所述第1工序至形成在所述第1膜的图案的高宽比至少成为5为止。
3.如权利要求1或2所述的基片处理方法,其特征在于:
还包括第2工序,其将第2处理气体等离子体化以所述第2膜为掩模蚀刻所述第1膜。
4.如权利要求1~3中任一项所述的基片处理方法,其特征在于:
还包括第3工序,其将第3处理气体等离子体化以在所述第2膜的顶部形成含硅膜。
5.如权利要求1~4中任一项所述的基片处理方法,其特征在于:
还包括第4工序,其将第4处理气体等离子体化,以除去堵塞所述开口和/或形成于所述第1膜的图案上部的堵塞物。
6.如权利要求1或2所述的基片处理方法,其特征在于:
将选自第2工序、第3工序和第4工序的1个以上的任意工序组合而成的多个循环按规定的顺序和次数实施,其中,
所述第2工序将第2处理气体等离子体化以所述第2膜为掩模蚀刻所述第1膜,
所述第3工序将第3处理气体等离子体化以在所述第2膜的顶部形成含硅膜,
所述第4工序将第4处理气体等离子体化,以除去在所述第1工序、所述第2工序和所述第3工序的任意者中产生的堵塞所述开口和/或形成于所述第1膜的图案上部的堵塞物。
7.如权利要求6所述的基片处理方法,其特征在于:
在所述第1膜形成具有20以上的高宽比的图案。
8.如权利要求1~7中任一项所述的基片处理方法,其特征在于:
将作为含氢气体的所述第1处理气体等离子体化,以溅射作为防反射膜的所述第2膜。
9.如权利要求1~8中任一项所述的基片处理方法,其特征在于:
还包括以所述第1膜为掩模对氧化硅膜和氮氧化硅膜的交替层叠部进行蚀刻的工序。
10.一种基片处理装置,其特征在于,包括:
存储程序的存储部,所述程序用于实施权利要求1~9中任一项所述的基片处理方法;和
进行控制以实施所述程序的控制部。
CN202010546551.3A 2019-06-28 2020-06-16 基片处理方法和基片处理装置 Pending CN112151370A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-122068 2019-06-28
JP2019122068A JP7339032B2 (ja) 2019-06-28 2019-06-28 基板処理方法および基板処理装置

Publications (1)

Publication Number Publication Date
CN112151370A true CN112151370A (zh) 2020-12-29

Family

ID=73891456

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010546551.3A Pending CN112151370A (zh) 2019-06-28 2020-06-16 基片处理方法和基片处理装置

Country Status (4)

Country Link
US (2) US11201062B2 (zh)
JP (2) JP7339032B2 (zh)
KR (1) KR20210001962A (zh)
CN (1) CN112151370A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11538692B2 (en) * 2021-05-21 2022-12-27 Tokyo Electron Limited Cyclic plasma etching of carbon-containing materials

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW552624B (en) * 2001-05-04 2003-09-11 Tokyo Electron Ltd Ionized PVD with sequential deposition and etching
JP5067068B2 (ja) * 2007-08-17 2012-11-07 東京エレクトロン株式会社 半導体装置の製造方法及び記憶媒体
JP2009076661A (ja) 2007-09-20 2009-04-09 Elpida Memory Inc 半導体装置の製造方法
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP5607881B2 (ja) 2008-12-26 2014-10-15 東京エレクトロン株式会社 基板処理方法
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5670177B2 (ja) 2010-12-27 2015-02-18 株式会社アルバック プラズマエッチング方法
JP5642001B2 (ja) * 2011-03-25 2014-12-17 東京エレクトロン株式会社 プラズマエッチング方法
US9390964B2 (en) * 2013-03-15 2016-07-12 Applied Materials, Inc. Methods for fabricating dual damascene structures in low temperature dielectric materials
US9054050B2 (en) * 2013-11-06 2015-06-09 Tokyo Electron Limited Method for deep silicon etching using gas pulsing
US10658194B2 (en) 2016-08-23 2020-05-19 Lam Research Corporation Silicon-based deposition for semiconductor processing
JP6820775B2 (ja) 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置
US11075084B2 (en) 2017-08-31 2021-07-27 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Chemistries for etching multi-stacked layers

Also Published As

Publication number Publication date
US20220093406A1 (en) 2022-03-24
KR20210001962A (ko) 2021-01-06
US20200411325A1 (en) 2020-12-31
JP2021009899A (ja) 2021-01-28
JP2023159347A (ja) 2023-10-31
US11201062B2 (en) 2021-12-14
JP7339032B2 (ja) 2023-09-05

Similar Documents

Publication Publication Date Title
TWI761345B (zh) 蝕刻方法
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
TWI657499B (zh) 蝕刻方法
KR20190026589A (ko) 에칭 방법
US9911607B2 (en) Method of processing target object
US11462412B2 (en) Etching method
TWI722187B (zh) 蝕刻方法
TW201705273A (zh) 蝕刻有機膜之方法
TWI692809B (zh) 蝕刻方法
TW201705265A (zh) 蝕刻方法
TW201701349A (zh) 蝕刻方法(一)
CN105810582B (zh) 蚀刻方法
CN110783187B (zh) 等离子体处理方法和等离子体处理装置
JP2023159347A (ja) 基板処理方法および基板処理装置
KR20230129345A (ko) 플라즈마 처리 장치 및 에칭 방법
TW201340203A (zh) 半導體裝置之製造方法
JP7190988B2 (ja) エッチング方法及び基板処理装置
US11201063B2 (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination