KR20100036214A - 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치 - Google Patents

마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치 Download PDF

Info

Publication number
KR20100036214A
KR20100036214A KR1020090092465A KR20090092465A KR20100036214A KR 20100036214 A KR20100036214 A KR 20100036214A KR 1020090092465 A KR1020090092465 A KR 1020090092465A KR 20090092465 A KR20090092465 A KR 20090092465A KR 20100036214 A KR20100036214 A KR 20100036214A
Authority
KR
South Korea
Prior art keywords
film
forming
pattern
resist pattern
resist
Prior art date
Application number
KR1020090092465A
Other languages
English (en)
Other versions
KR101079625B1 (ko
Inventor
카즈히데 하세베
시게루 나카지마
쥰 오가와
히로키 무라카미
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100036214A publication Critical patent/KR20100036214A/ko
Application granted granted Critical
Publication of KR101079625B1 publication Critical patent/KR101079625B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

패턴 유기막에 실리콘 산화막을 성막하여 미세 패턴을 형성할 때에 레지스트 패턴을 슬리밍 처리하는 공정을 삭감할 수 있어, 프로세스 비용을 저감할 수 있는 마스크 패턴의 형성 방법 및 미세 패턴의 형성 방법을 제공한다. 반도체 기판 상에 박막을 형성하는 공정(S11)과, 박막 상에 레지스트막을 형성하는 공정(S12)과, 포토리소그래피 기술을 이용하여 레지스트막을 소정의 피치를 갖는 레지스트 패턴으로 가공하는 패턴 가공 공정(S13)과, 레지스트 패턴의 형상을 가공하는 형상 가공 공정(S14)과, 소스 가스와 산소 래디컬 또는 상기 산소 함유 가스를 공급하고, 형상 가공 공정에 의해 형상이 가공된 레지스트 패턴 및 박막 상에 산화막을 성막하는 성막 공정(S15)을 구비한다. 형상 가공 공정(S14)과 성막 공정(S15)을 산화막을 성막하는 성막 장치 내에서 연속하여 행한다.

Description

마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치{MASK PATTERN FORMING METHOD, FINE PATTERN FORMING METHOD AND FILM FORMING APPARATUS}
본 발명은 반도체 프로세스에 이용되는 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치에 관한 것으로, 특히 노광 장치의 해상 한계 이하의 패턴을 형성할 때에 제조 프로세스의 비용을 증대시키지 않고 패턴 치수를 보정하는 정밀도를 향상시킬 수 있는 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치에 관한 것이다.
반도체 디바이스의 고집적화에 수반하여, 제조 프로세스에 요구되는 배선 또는 분리폭은 미세화되고 있다. 일반적으로 미세 패턴은 포토리소그래피 기술을 이용하여 레지스트 패턴을 형성하고, 레지스트 패턴을 에칭 마스크로 이용하여 하지(下地)의 각종 박막을 에칭함으로써 형성된다. 따라서, 미세 패턴을 형성하기 위해서는 포토리소그래피 기술이 중요하지만, 근래의 반도체 디바이스의 미세화는 포토리소그래피 기술의 해상 한계 이하를 요구하기에까지 이르렀다.
여기서, 박막을 에칭하여 미세 패턴을 형성하기 위한 마스크가 되는 패턴을 마스크 패턴이라고 정의한다. 마스크 패턴은 산화막 등의 희생막 또는 레지스트막으로 이루어지는 경우가 있다. 또한, 이하에서는 미세 패턴이라고 하는 경우에 마스크 패턴의 의미를 포함하는 경우가 있다.
이러한 해상 한계 이하의 패턴을 형성하는 기술은, 예를 들면 특허 문헌 1에 기재되어 있다.
특허 문헌 1은, 제 1 감광막 패턴(이하, '제 1 레지스트 패턴'이라고 함)을 형성하고 제 1 레지스트 패턴을 베이킹한 후, 제 1 레지스트 패턴 상에 산화막을 형성한다. 이후, 제 1 레지스트 패턴끼리의 사이에 제 2 감광막 패턴(이하, '제 2 레지스트 패턴'이라고 함)을 형성하고, 제 1 레지스트 패턴 및 제 2 레지스트 패턴을 에칭 마스크로 이용하여, 하지의 박막을 에칭하여 미세 패턴을 형성한다.
특허 문헌 1에 의하면, 2 개의 노광 마스크를 이용하여 미세 패턴을 형성하므로, 1 개의 노광 마스크를 이용하여 미세 패턴을 형성하는 경우에 비해 2 배 이상의 분해능을 얻을 수 있다. 이 때문에, 해상 한계 이하의 미세 패턴을 형성할 수 있다.
또한, 패턴 유기막 상에의 실리콘 산화막의 성막 프로세스를 SWT(사이드월 트랜스퍼 프로세스 : Side Wall Transfer Process) 또는 LLE(리소그래피-리소그래피-에칭 : Lithography Lithography Etching) 등의 프로세스와 조합시키는 미세 패턴의 형성 방법이, 상술한 포토리소그래피 기술의 해상 한계 이하의 미세 패턴을 형성하는 기술로서 주목받고 있다.
이에 이용되는 레지스트 패턴 상에 산화막을 형성하는 기술은, 예를 들면 특 허 문헌 2에 기재되어 있다.
특허 문헌 2에는, 해상 한계 이하의 미세 패턴을 형성하는 방법은 기재되어 있지 않으나, 레지스트 패턴 상에 산화막을 형성해 둠으로써, 레지스트 패턴의 박형화 현상을 방지할 수 있고, 형성된 미세 패턴에 스트리에이션(striation)이나 위글링(wiggling)이 발생하는 것을 방지할 수 있는 기술이 기재되어 있다.
선행 기술 문헌
특허 문헌 1: 일본특허공보 제2757983호
특허 문헌 2: 일본특허공개공보 2004-080033호
그러나, 상기의 패턴 유기막 상에의 실리콘 산화막의 성막 프로세스를 SWT 또는 LLE 등의 미세 패턴 등에 조합시키는 경우, 다음과 같은 문제가 있었다.
상기한 바와 같이, 리소그래피의 미세화 한계에 의해, 패턴 유기막은 리소그래피 후의 치수 보정(슬리밍, 트리밍, 또는 스무딩) 기술이 필요불가결하지만, 그 공정을 추가함에 따라 비용이 증가한다고 하는 문제가 있었다.
또한, 슬리밍, 트리밍, 또는 스무딩을 행하여 미세 패턴의 패턴 치수의 보정을 행하는 경우에는, 레지스트 도포 장치, 애싱 장치 또는 에칭 장치 등을 이용하여 행해지고, 성막 처리는 성막 장치를 이용하여 행해지고 있다. 이 때문에, 슬리밍 처리를 끝낸 반도체 기판(웨이퍼)은 애싱 장치로부터 일단 인출된 후, 성막 장치로 반송되도록 되어 있다. 그러나, 슬리밍 처리 후에 웨이퍼가 장치로부터 인출되므로, 레지스트 패턴의 표면에 먼지 등이 부착될 가능성이 있다. 레지스트 패턴의 표면에 먼지 등이 부착되면, 레지스트 패턴 상에 형성되는 실리콘 산화막에서는 결함 밀도가 증가하고, 또한 그 막 두께의 균일성이 쉽게 손상된다고 하는 문제가 있었다.
또한, 슬리밍 처리 또는 성막 처리 시에, 레지스트 패턴의 선단(先端)과 근원 사이의 폭 치수의 차이가 작아지도록 유지하기 어렵고, 형상이 뛰어난 미세 패턴을 형성할 수 없다고 하는 문제가 있었다.
본 발명은, 상기의 점을 감안하여 이루어진 것으로, 패턴 유기막에 실리콘 산화막을 성막함으로써, 마스크 패턴 및 미세 패턴을 형성할 때에 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있고, 마스크 패턴 및 미세 패턴을 형성하는 프로세스의 비용을 저감할 수 있는 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치를 제공한다. 또한, 레지스트 패턴의 선단과 근원 사이의 폭 치수의 차이가 작아지도록 유지하면서 슬리밍 처리 또는 성막 처리를 할 수 있고, 형상이 뛰어난 미세 패턴을 형성할 수 있는 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치를 제공한다.
상기의 과제를 해결하기 위하여, 본 발명에서는 후술하는 각 수단을 구비한 것을 특징으로 하는 것이다.
본 발명의 일 실험예에 의하면, 박막 상에 레지스트막을 형성하는 공정과, 포토리소그래피 기술을 이용하여 상기 레지스트막을 소정의 피치를 갖는 레지스트 패턴으로 가공하는 패턴 가공 공정과, 상기 레지스트 패턴의 형상을 가공하는 형상 가공 공정과, 소스 가스와 산소 래디컬 또는 산소 함유 가스를 공급하고 상기 형상 가공 공정에 의해 형상이 가공된 상기 레지스트 패턴 및 상기 박막 상에 산화막을 성막하는 성막 공정을 구비하는 마스크 패턴의 형성 방법으로서, 상기 형상 가공 공정과 상기 성막 공정을, 상기 산화막을 성막하는 성막 장치 내에서 연속하여 행하는 것을 특징으로 하는 마스크 패턴의 형성 방법이 제공된다.
또한, 본 발명의 일 실험예에 의하면, 피에칭막 상에 반사 방지막을 형성하는 공정과, 상기 반사 방지막 상에 레지스트막을 형성하는 공정과, 포토리소그래피 기술을 이용하여 상기 레지스트막을 소정의 피치로 배열하는 라인부를 포함하는 레지스트 패턴으로 가공하는 패턴 가공 공정과, 산화막을 성막하는 성막 장치 내에서 상기 레지스트 패턴의 형상을 가공하는 형상 가공 공정과, 상기 형상 가공 공정과 연속하여, 상기 성막 장치 내에 소스 가스와 산소 래디컬 또는 산소 함유 가스를 공급하고 상기 형상 가공 공정에 의하여 형상이 가공된 상기 레지스트 패턴 및 상기 피에칭막 상에 산화막을 성막하는 성막 공정과, 상기 산화막이, 형상이 가공된 상기 레지스트 패턴의 상기 라인부의 측면에 측벽으로서 잔존하도록 상기 산화막을 에칭하는 에칭 공정과, 형상이 가공된 상기 레지스트 패턴을 제거하고, 측벽으로서 잔존하고 상기 산화막으로 이루어지는 측벽부를 형성하는 공정과, 상기 측벽부를 마스크로서 상기 반사 방지막을 에칭하고, 상기 측벽부 및 상기 반사 방지막으로 이루어지는 마스크 패턴을 형성하는 공정을 구비하는 마스크 패턴의 형성 방법이 제공된다.
또한, 본 발명의 일 실험예에 의하면, 피에칭막 상에 반사 방지막을 형성하는 공정과, 상기 반사 방지막 상에 레지스트막을 형성하는 공정과, 포토리소그래피 기술을 이용하여 상기 레지스트막을 제 1 피치로 배열되는 제 1 레지스트 패턴으로 가공하는 제 1 패턴 가공 공정과, 산화막을 성막하는 성막 장치 내에서 상기 제 1 레지스트 패턴의 형상을 가공하는 제 1 형상 가공 공정과, 상기 제 1 형상 가공 공정과 연속하여, 상기 성막 장치 내로 소스 가스와 산소 래디컬 또는 산소 함유 가스를 공급하고, 상기 제 1 형상 가공 공정에 의하여 형상이 가공된 상기 제 1 레지스트 패턴 및 상기 피에칭막 상에 산화막을 성막하는 성막 공정과, 상기 산화막 상 에 제 2 레지스트막을 형성하는 공정과, 포토리소그래피 기술을 이용하여 상기 제 2 레지스트막을 상기 제 1 피치와 실질적으로 동일한 제 2 피치로 배열하고, 또한 상기 제 1 피치의 실질적으로 절반을 어긋나게 하여 상기 제 1 레지스트 패턴과 교호적으로 배열되는 제 2 레지스트 패턴으로 가공하는 제 2 패턴 가공 공정과, 상기 제 2 레지스트 패턴의 형상을 가공하는 제 2 형상 가공 공정과, 형상이 가공된 상기 제 1 레지스트 패턴 및 형상이 가공된 상기 제 2 레지스트 패턴을 마스크로서 상기 산화막 및 상기 반사 방지막을 에칭하고, 상기 제 1 피치의 실질적으로 절반의 피치로 배열하고, 상기 제 1 레지스트막 및 상기 제 2 레지스트막으로 이루어지는 마스크 패턴을 형성하는 공정을 구비하는 마스크 패턴의 형성 방법이 제공된다.
또한, 본 발명의 일 실험예에 의하면, 진공 유지 가능하게 설치되고 반도체 기판을 처리하기 위한 처리 용기와, 상기 처리 용기 내로 소스 가스를 공급하는 소스 가스 공급 수단과, 상기 처리 용기 내로 산소 래디컬 또는 산소 함유 가스를 공급하는 산소 래디컬 공급 수단을 구비하고, 상기 소스 가스 공급 수단과 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 소스 가스와 상기 산소 래디컬 또는 상기 산소 함유 가스를 교호적으로 공급함으로써, 레지스트 패턴이 형성된 반도체 기판 상에 산화막을 성막하는 성막 장치로서, 상기 레지스트 패턴이 형성된 반도체 기판 상에 상기 산화막을 성막하기 전에, 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 산소 래디컬을 공급함으로써, 상기 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 성막 장치가 제공된다.
또한, 본 발명의 일 실험예에 의하면, 반도체 기판 상에 피에칭막을 개재하 여 형성된 반사 방지막과, 상기 반사 방지막 상에 형성된 레지스트 패턴의 측벽을 피복하고 산화막으로 이루어지는 측벽부를 포함하는 마스크 패턴을 형성하기 위하여, 소스 가스와 산소 래디컬 또는 산소 함유 가스를 교호적으로 공급함으로써, 상기 레지스트 패턴이 형성된 상기 반사 방지막 상에 상기 레지스트 패턴을 등방적(等方的)으로 피복하도록 상기 산화막을 성막하는 성막 장치로서, 진공 유지 가능하게 설치되고 반도체 기판을 처리하기 위한 처리 용기와, 상기 처리 용기 내로 상기 소스 가스를 공급하는 소스 가스 공급 수단과, 상기 처리 용기 내로 상기 산소 래디컬 또는 상기 산소 함유 가스를 공급하는 산소 래디컬 공급 수단을 구비하고, 상기 레지스트 패턴이 형성된 반도체 기판 상에 상기 산화막을 성막하기 전에, 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 산소 래디컬을 공급함으로써, 상기 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 성막 장치가 제공된다.
또한, 본 발명의 일 실험예에 의하면, 반도체 기판 상에 아래로부터 차례로 피에칭막 및 반사 방지막을 개재하여 형성되고, 제 1 피치로 배열되는 제 1 레지스트 패턴과, 상기 제 1 피치와 실질적으로 동일한 제 2 피치로 배열하고, 또한 상기 제 1 피치의 실질적으로 절반을 어긋나게 하여 상기 제 1 레지스트 패턴과 교호적으로 배열하는 제 2 레지스트 패턴을 포함하는 마스크 패턴을 형성하기 위하여, 소스 가스와 산소 래디컬 또는 산소 함유 가스를 교호적으로 공급함으로써, 상기 레지스트 패턴이 형성된 상기 반사 방지막 상에 상기 레지스트 패턴을 등방적으로 피복하도록 상기 산화막을 성막하는 성막 장치로서, 진공 유지 가능하게 설치되고 반 도체 기판을 처리하기 위한 처리 용기와, 상기 처리 용기 내로 상기 소스 가스를 공급하는 소스 가스 공급 수단과, 상기 처리 용기 내로 상기 산소 래디컬 또는 상기 산소 함유 가스를 공급하는 산소 래디컬 공급 수단을 구비하고, 상기 레지스트 패턴이 형성된 반도체 기판 상에 상기 산화막을 성막하기 전에, 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 산소 래디컬을 공급함으로써, 상기 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 성막 장치가 제공된다.
또한, 본 발명의 일 실험예에 의하면, 피에칭막 상에 반사 방지막을 형성하는 공정과, 상기 반사 방지막 상에 레지스트막을 형성하는 공정과, 포토리소그래피 기술을 이용하여 상기 레지스트막을 제 1 피치로 배열하는 라인부를 포함하는 제 1 레지스트 패턴으로 가공하는 제 1 패턴 가공 공정과, 상기 제 1 레지스트 패턴의 형상을 가공하는 제 1 형상 가공 공정과, 소스 가스와 산소 함유 가스를 공급하고, 상기 제 1 형상 가공 공정에 의해 형상이 가공된 상기 제 1 레지스트 패턴 및 상기 피에칭막 상에 상온에서 알루미늄 산화막을 성막하는 성막 공정을 구비하는 마스크 패턴의 형성 방법이 제공된다.
본 발명에 의하면, 패턴 유기막에 실리콘 산화막을 성막함으로써, 마스크 패턴 및 미세 패턴을 형성할 때에 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있고, 마스크 패턴 및 미세 패턴을 형성하는 프로세스의 비용을 저감할 수 있다. 또한, 레지스트 패턴의 선단과 근원 사이의 폭 치수의 차이가 작아지도록 유지하면서 슬리밍 처리 또는 성막 처리할 수 있고, 형상이 뛰어 난 마스크 패턴 및 미세 패턴을 형성할 수 있다.
이어서, 본 발명을 실시하기 위한 형태에 대하여 도면과 함께 설명한다.
(제 1 실시예)
도 1, 도 2a 내지 도 2h, 도 3 내지 도 6, 도 7a 내지 도 7c, 도 8a 내지 도 8d를 참조하여 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법 및 성막 장치를 설명한다.
먼저, 도 1, 도 2a 내지 도 2h를 참조하여 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법을 설명한다.
도 1은 본 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다. 도 2a 내지 도 2h는 각각 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 또한, 도 1의 단계(S11) 내지 단계(S17) 및 단계(S18)의 각각의 공정이 행해진 후의 미세 패턴의 구조는, 도 2a 내지 도 2h의 각각의 단면도에서 도시한 구조에 대응된다.
또한, 상술한 바와 같이, 박막을 에칭하여 미세 패턴을 형성하기 위한 마스크가 되는 패턴을 마스크 패턴이라고 정의한다. 마스크 패턴은 산화막 등의 희생막 또는 레지스트막으로 이루어지는 경우가 있다. 또한, 이하에서는 미세 패턴이라고 하는 경우에 마스크 패턴의 의미를 포함하는 경우가 있다(이하의 실시예에서도 동일함).
본 실시예에 따른 미세 패턴의 형성 방법은, 도 1에 도시한 바와 같이, 박막을 형성하는 공정과, 레지스트막을 형성하는 공정과, 패턴 가공 공정과, 형상 가공 공정과, 성막 공정과, 에칭 공정과, 레지스트 패턴을 제거하고 유기막을 에칭하는 공정을 포함한다. 박막을 형성하는 공정은 단계(S11)의 공정을 포함하고, 레지스트막을 형성하는 공정은 단계(S12)의 공정을 포함하고, 패턴 가공 공정은 단계(S13)의 공정을 포함하고, 레지스트 패턴의 형상을 가공하는 공정은 단계(S14)의 공정을 포함하고, 성막 공정은 단계(S15)의 공정을 포함하고, 에칭 공정은 단계(S16)의 공정을 포함하고, 레지스트 패턴을 제거하고 유기막을 에칭하는 공정은 단계(S17)의 공정을 포함한다.
또한, 도 1에 도시한 바와 같이, 단계(S14)와 단계(S15)의 공정은 동일 챔버(처리 용기) 내에서 연속 처리된다.
단계(S11)는 반도체 기판 상에 박막을 형성하는 공정이다. 도 2a는 단계(S11)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
단계(S11)에서는, 도 2a에 도시한 바와 같이, 반도체 기판(101) 상에 아래로부터 차례로 박막(102), 유기막(103)을 형성한다. 박막(102)은 패턴을 형성함으로써 그 후의 여러 가공 공정을 행하는 경우의 마스크로서 기능한다. 유기막(103)은 패턴이 형성되고 박막(102)의 패턴을 형성하기 위한 마스크로서 기능한다. 또한 유기막(103)은 그 위에 형성되는 포토레지스트막(104)의 포토리소그래피를 행할 때의 반사 방지막(BARC : Bottom anti-Reflecting Coating)으로서의 기능을 가지는 경우도 있다.
또한, 반도체 기판(101)은 반도체, 예를 들면 실리콘 기판만을 나타내는 것이 아니라, 반도체 기판 내 또는 반도체 기판 상에 형성된 반도체 소자 또는 집적 회로 패턴에 대응된 도전막, 이들을 절연하는 층간 절연막이 형성된 구조체를 포함한다고 정의한다.
또한, 본 실시예에 따른 박막 및 유기막은, 각각 본 발명에서의 피에칭막, 반사 방지막에 상당한다.
박막(102)의 재질은 특별히 한정되지 않고, 예를 들면 질화 실리콘, 산화 실리콘, 산질화 실리콘, 비결정 실리콘, 또는 폴리 실리콘을 포함하는 막을 이용할 수 있다. 또한, 박막(102)의 두께는 특별히 한정되지 않고, 예를 들면 20 ~ 200 nm로 할 수 있다.
유기막(103)의 재질은 특별히 한정되지 않고, 예를 들면 화학 기상법(CVD : Chemical Vapor Deposition)에 의해 성막된 비결정 탄소, 스핀 온에 의해 성막된 폴리페놀 또는 i 선 레지스트 등의 포토레지스트를 포함하는 광범위한 유기계의 재료를 이용할 수 있다. 또한, 유기막(103)의 두께는 특별히 한정되지 않고, 예를 들면 150 ~ 300 nm로 할 수 있다.
단계(S12)는 포토레지스트막(104)을 성막하는 공정이다. 도 2b는 단계(S12)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
포토레지스트막(104)의 재질은, 예를 들면 ArF 레지스트를 이용할 수 있다. 또한, 포토레지스트막(104)의 두께는 특별히 한정되지 않고, 예를 들면 50 ~ 200 nm로 할 수 있다.
이어서, 단계(S13)를 포함하는 패턴 가공 공정을 행한다. 단계(S13)는 성막된 포토레지스트막(104)을 노광, 현상하여 포토레지스트막(104)으로 이루어지는 레지스트 패턴(104a)을 형성하는 공정이다. 또한, 도 2c는 단계(S13)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
도 2c에 도시한 바와 같이, 포토레지스트막(104)으로 이루어지는 레지스트 패턴(104a)이 형성된다. 레지스트 패턴(104a)은 유기막(103)을 에칭하는 공정에서의 마스크로서 기능한다. 레지스트 패턴(104a)의 라인폭(LL4) 및 스페이스폭(SS4)은 특별히 한정되지 않고, 모두 예를 들면 60nm로 할 수 있다.
또한, 본 실시예에서, 라인폭(LL4)을 가지고 레지스트 패턴을 구성하는 하나 하나의 라인을 라인부라고 정의한다. 따라서, 본 실시예에 따른 패턴 가공 공정은, 포토리소그래피 기술을 이용하여 레지스트막을 소정의 피치로 배열하는 라인부를 포함하는 레지스트 패턴으로 가공하는 공정이다.
이어서, 단계(S14)를 포함하는 형상 가공 공정을 행한다. 단계(S14)는 포토레지스트막(104)으로 이루어지는 레지스트 패턴(104a)을 슬리밍 처리하여, 포토레지스트막(104)으로 이루어지는 레지스트 패턴(104b)을 형성하는 공정이다. 또한, 도 2d는 단계(S14)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
또한, 슬리밍 처리는 본 발명에 있어서의 형상 가공 공정에서의 형상을 가공하는 처리에 상당하며, 트리밍 처리라고도 한다.
슬리밍 처리의 방법은 특별히 한정되지 않고, 슬리밍 처리 조건의 일례는 산소 래디컬 또는 오존 가스를 포함하는 분위기 중, 온도는 실온 ~ 100℃이다. 또한, 도 2c 및 도 2d에 도시한 바와 같이, 슬리밍 처리되어 생기는 레지스트 패턴(104b)의 라인폭(LL1)은 슬리밍 처리를 행하기 전의 레지스트 패턴(104a)의 라인폭(LL4)에 비해 가늘어지므로, 레지스트 패턴(104b)의 라인폭(LL1) 및 스페이스폭(SS1)과, 레지스트 패턴(104a)의 라인폭(LL4) 및 스페이스폭(SS4)과의 대소 관계는 LL1 < LL4, SS1 > SS4가 된다. LL1 및 SS1의 값은 특별히 한정되지 않고, 예를 들면 LL1을 30 nm, SS1을 90 nm로 할 수 있다.
본 실시예에서, 단계(S14)는 그 후 연속하여 행해지는 단계(S15)의 성막 공정을 행하기 위한 성막 장치의 처리 용기 내에서 행해진다.
또한, 단계(S14)를 행하는 경우에는 유기막(반사 방지막)(102)이 에칭되지 않는 조건을 선택하여 행한다. 일례로서, 후술하는 실험예 1과 같은 조건으로 행함으로써 유기막(반사 방지막)(102)이 에칭되지 않도록 할 수 있다. 단계(S14)를 유기막(반사 방지막)(102)이 에칭되는 조건에서 행하는 경우, 유기막(반사 방지막)(102)이 완전히 에칭되지 않고 어중간하게 남게 되어 그 후의 마스크 패턴의 형성 방법을 정밀도 좋게 행할 수 없기 때문이다.
다음에, 단계(S14)에 이어서 성막 장치의 처리 용기 내에서 단계(S15)의 공정을 포함하는 성막 공정을 행한다. 단계(S15)는 슬리밍 처리된 레지스트 패턴(104b) 및 유기막(103) 상에 SiO2막(105)을 성막하는 성막 공정이다. 또한, 도 2e는 단계(S15)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
또한, SiO2막(산화 실리콘막)은 본 발명에서의 실리콘 산화막에 상당한다. 또한 이하에서, SiO2막 대신에 SiOx막을 비롯하여 실리콘과 산소를 주성분으로서 포함하는 다른 조성막이어도 좋다. 또한, 산질화 실리콘막(SiON막)을 이용할 수도 있다.
SiO2막(105)의 성막 공정은 포토레지스트막(104)이 레지스트 패턴(104b)으로서 남은 상태에서 행하지만, 일반적으로 유기막(103)은 고온에 약하기 때문에 저온(예를 들면 300℃ 이하 정도)에서 성막하는 것이 바람직하다. 성막 방법으로서, 이와 같이 저온에서 성막할 수 있다면 특별히 한정되지 않고, 본 실시예에서는 저온에서의 분자층 퇴적(Molecular Layer Deposition, 이하 MLD라고 함), 즉 저온 MLD에 의해 행할 수 있다. 그 결과, 도 2e에 도시한 바와 같이, 레지스트 패턴(104b)이 형성되어 있는 곳 및 형성되어 있지 않은 곳을 포함하여 기판 전면에 SiO2막(105)이 성막되고, 레지스트 패턴(104b)의 측면에도 레지스트 패턴(104b)의 측면을 피복하도록 SiO2막(105)이 성막된다. 이 때의 SiO2막(105)의 두께를 D로 하면, 레지스트 패턴(104b)의 측면을 피복하는 SiO2막(105)의 폭도 D가 된다. SiO2막(105)의 두께 D는 특별히 한정되지 않고, 예를 들면 30 nm로 할 수 있다.
여기서, 저온 MLD에 의한 성막 공정에 대하여 설명한다.
저온 MLD에서는, 실리콘을 포함하는 원료 가스를 처리 용기 내로 공급하여 실리콘 원료를 기판 상에 흡착시키는 공정과, 산소를 포함하는 가스를 처리 용기 내로 공급하여 실리콘 원료를 산화시키는 공정을 교호적으로 반복한다.
구체적으로는, 실리콘을 포함하는 원료 가스를 기판 상에 흡착시키는 공정에서는, 실리콘을 포함하는 원료 가스로서 1 분자 내에 2 개의 아미노기를 가지는 아미노실란 가스, 예를 들면 비스터셜부틸아미노실란(이하, BTBAS라고 함)을 실리콘 원료 가스의 공급 노즐을 거쳐 처리 용기 내로 소정의 시간(도 5에서 후술하는 T3) 공급한다. 이에 따라 기판 상에 BTBAS를 흡착시킨다.
이어서, 산소를 포함하는 가스를 처리 용기 내로 공급하고 실리콘 재료를 산화시키는 공정에서는, 산소를 포함하는 가스로서, 예를 들면 고주파 전원을 구비한 플라즈마 생성 기구에 의해 플라즈마화된 O2 가스를, 가스 공급 노즐을 거쳐 처리 용기 내로 소정의 시간(도 5에서 후술하는 T4) 공급한다. 이에 따라 기판 상에 흡착된 BTBAS가 산화되고 SiO2막(105)이 형성된다.
또한, 상술한 실리콘을 포함하는 원료 가스를 기판 상에 흡착시키는 공정과, 산소를 포함하는 가스를 처리 용기 내로 공급하여 실리콘 재료를 산화시키는 공정을 전환할 때에, 각각의 공정 사이에 직전의 공정에서의 잔류 가스를 제거하기 위하여, 처리 용기 내를 진공 배기하면서, 예를 들면 N2 가스 등의 불활성 가스로 이루어지는 퍼지 가스를 처리 용기 내로 공급하는 공정을 소정의 시간(도 5에서 후술하는 T5) 행할 수 있다. 또한, 이 공정은 처리 용기 내에 잔류하고 있는 가스를 제거할 수 있으면 되고, 퍼지 가스를 공급하지 않고 모든 가스의 공급을 정지한 상태에서 진공 배기를 계속하여 행할 수 있다.
본 실시예에서는, SiO2막(105)을 성막하기 위한 Si 소스 가스로서 유기 실리 콘을 포함하는 소스 가스를 이용한다. 유기 실리콘을 포함하는 Si 소스 가스의 예는 아미노실란계 전구체(precursor)이다. 아미노실란계 전구체의 예는 1 가 또는 2 가의 아미노실란계 전구체다. 1 가 또는 2 가의 아미노실란계 전구체의 구체적인 예는, 예를 들면 BTBAS(비스터셜부틸아미노실란), BDMAS(비스디메틸아미노실란), BDEAS(비스디에틸아미노실란), DPAS(디프로필아미노실란), BAS(부틸아미노실란) 및 DIPAS(디이소프로필아미노실란)이다.
또한, 아미노실란계 전구체로서는 3 가의 아미노실란계 전구체를 이용할 수도 있다. 3 가의 아미노실란계 전구체의 예는 TDMAS(트리디메틸아미노실란)이다.
또한, 유기 실리콘을 포함하는 Si 소스 가스로서는, 아미노실란계 전구체 외에 에톡시실란계 전구체를 이용할 수도 있다. 에톡시실란계 전구체의 예는, 예를 들면 TEOS(테트라에톡시실란)이다.
한편, 산소를 포함하는 가스로서는, O2 가스 외에 NO 가스, N2O 가스, H2O 가스, O3 가스를 이용할 수 있고, 이들을 고주파 전계에 의해 플라즈마화하여 산화제로서 이용할 수 있다. 이러한 산소를 포함하는 가스의 플라즈마를 이용함으로써 SiO2막의 성막을 300℃ 이하로 행할 수 있고, 또한 산소를 포함하는 가스의 가스 유량, 고주파 전원의 전력, 처리 용기 내의 압력을 조정함으로써, SiO2막의 성막을 100℃ 이하 또는 실온에서 성막을 행할 수 있다.
이어서, 단계(S16)의 공정을 포함하는 에칭 공정을 행한다. 단계(S16)는 SiO2막(105)이 레지스트 패턴(104b)의 측벽부(105a)로서만 남도록 에칭하는 에칭 공정이다. 또한, 도 2f는 단계(S16)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
도 2f에 도시한 바와 같이, SiO2막(105)을 에칭하여 SiO2막(105)이 레지스트 패턴(104b)의 측면을 피복하는 측벽부(105a)로만 남은 상태로 한다. SiO2막(105)의 에칭은 특별히 한정되지 않고, 예를 들면 CF4, C4F8, CHF3, CH3F, CH2F2 등의 CF 계 가스와 Ar 가스 등의 혼합 가스, 또는 이 혼합 가스에 필요에 따라 산소를 첨가한 가스 등을 이용하여 행할 수 있다. SiO2막(105)으로 이루어지는 레지스트 패턴(104b)의 측벽부(105a)만이 남도록 에칭하기 때문에, 레지스트 패턴(104b) 및 측벽부(105a)로 이루어지는 제 3 패턴(106)이 형성된다. 제 3 패턴(106)의 라인폭을 LL3, 스페이스폭을 SS3으로 하면, 레지스트 패턴(104b)의 라인폭(LL1)이 30 nm, 측벽부(105a)의 두께(D)가 30 nm인 경우, LL3 = LL1 + D × 2, SS3 = LL1 + SS1 - LL3이므로 LL3을 90 nm, SS3을 30 nm로 할 수 있다.
또한, 단계(S16)의 에칭 공정에서 행하는 에칭을, 에칭에 의해 SiO2막(105)의 표면을 두께 방향으로 후퇴시킨다는 점에서 에치백(etch back)이라고도 한다.
또한, 에칭 공정을 행함으로써, 산화막은 형상이 가공된 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 에칭된다. 따라서, 에칭 공정은 산화막이 형상이 가공된 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 산화막을 에 칭하는 공정이다.
단계(S17)는 레지스트 패턴(104b)을 제거하여 측벽부(105a)를 형성하는 공정과, 또한 형성된 측벽부(105a)를 마스크로 하여 유기막(103)을 에칭하는 공정이다. 또한, 도 2g는 레지스트 패턴(104b)을 제거하는 공정과 유기막(103)을 에칭하는 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
산소, 질소, 수소, 암모니아 등의 플라즈마를 이용한 에칭을 행하고, 포토레지스트막(104)으로 이루어지는 레지스트 패턴(104b)을 제거함으로써, 측벽부(105a)만이 남는다. 또한, 남은 측벽부(105a)를 마스크로 하여 유기막(103)을 에칭함으로써, 도 2g에 도시한 바와 같이, 측벽부(105a) 및 유기막(103)으로 이루어지고, 라인폭이 D, 스페이스폭이 LL1 및 SS3이 교호적으로 나타나는 패턴인 제 2 패턴(107)이 형성된다. 그 결과, 도 2g에 도시한 바와 같이, 포토레지스트막(104)으로 이루어지는 레지스트 패턴(104b)이 제거되어 측벽부(105a)만이 남고, 라인폭이 D, 스페이스폭이 LL1 및 SS3이 교호적으로 나타나는 패턴인 제 2 패턴(107)이 형성된다. 본 실시예에서는, 레지스트 패턴(104b)의 라인폭(LL1)과 제 3 패턴(106)의 스페이스폭(SS3)을 동일하게 함으로써, 스페이스폭은 LL1 및 SS3과 동일한 SS2가 된다. 또한, D와 동일한 라인폭을 재차 LL2로 한다. 상술한 바와 같이, LL1을 30 nm, SS3을 30 nm, SiO2막(105)의 두께(측벽부(105a)의 폭(D))를 30 nm로 함으로써, 라인폭(LL2)이 30 nm, 스페이스폭(SS2)이 30 nm인 제 2 패턴(107)을 형성할 수 있다.
또한, 제 2 패턴(107)은 측벽부(105a) 및 유기막(반사 방지막)(103)으로 이 루어지고, 본 발명에서의 마스크 패턴에 상당한다.
그 후, 제 2 패턴(107)을 마스크로 하여 박막(102)을 에칭한다. 즉, 단계(S18)를 행한다. 단계(S18)에서는 제 2 패턴(마스크 패턴)(107)을 이용하여 박막(피에칭막)(102)을 가공하여, 도 2h에 도시한 바와 같이, 박막(피에칭막)(102)으로 이루어지는 패턴(102a)을 형성한다. 패턴(102a)의 상부에는 유기막(반사 방지막)(103)이 남아 있어도 좋다. 예를 들면 비결정 실리콘 또는 폴리 실리콘으로 이루어지는 박막(102)의 에칭은, Cl2, Cl2 + HBr, Cl2 + O2, CF4 + O2, SF6, Cl2 + N2, Cl2 + HCl, HBr + Cl2 + SF6 등의 가스 등의 플라즈마를 이용하여 행할 수 있다. 즉, CF계 가스, CHF계 가스, CH계 가스, 또는 산소 가스 등을 포함하는 가스를 이용하여 행할 수 있다.
또한, 유기막(반사 방지막)(103)을 형성하는 공정(단계(S11)의 일부)부터 측벽부(105a) 및 유기막(반사 방지막)(103)으로 이루어지는 마스크 패턴을 형성하는 공정(단계(S17))까지를, 본 발명에서의 마스크 패턴의 형성 방법이라고 정의한다. 또한, 그 마스크 패턴의 형성 방법을 포함하고 박막(피에칭막)(102)을 형성하는 공정(단계(S11)의 일부)부터 박막(피에칭막)(102)으로 이루어지는 패턴(102a)을 형성하는 공정(단계(S18))까지를, 본 발명에서의 미세 패턴의 형성 방법이라고 정의한다.
이어서, 도 3 및 도 4를 참조하여 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치에 대하여 설명한다.
도 3은 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 종단면도이다. 도 4는 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 횡단면도이다. 또한, 도 4에서는 가열 장치를 생략하고 있다.
도 3에 도시한 바와 같이, 본 실시예에 따른 성막 장치(80)는 형상 가공 공정인 슬리밍 처리와 성막 공정인 성막 처리를 동일한 성막 장치 내에서 연속 처리하도록 한 것이다.
종래, 슬리밍 처리는 에칭 장치 또는 애싱 장치 등을 이용하여 행해지고, 성막 처리는 성막 장치를 이용하여 행해지고 있다. 이 때문에, 슬리밍 처리를 끝낸 반도체 기판(웨이퍼)은 애싱 장치로부터 일단 인출된 후 성막 장치로 반송되도록 되어 있다.
이와 같이, 축소(shrink) 처리 후에 웨이퍼가 장치로부터 인출되기 때문에, 레지스트 패턴(104b)의 표면에 먼지 등이 부착될 가능성이 있다. 레지스트 패턴(104b)의 표면에 먼지 등이 부착되면, 레지스트 패턴(104b) 상에 형성되는 SiO2막(105)에서는 결함 밀도가 증가하고, 또한 그 막두께의 균일성이 쉽게 손상되게 된다.
이에 대하여, 본 실시예에 따른 성막 장치(80)는 슬리밍 처리를 성막 장치를 이용하여 행하도록 하고, 또한 슬리밍 처리와 성막 처리를 동일한 성막 장치 내에서 연속 처리하도록 한다. 이에 의해, 레지스트 패턴(104b)의 표면을 청정하게 유 지한 채로 SiO2막(105)을 성막할 수 있고, 그 결함 밀도를 저감시키고, 또한 막두께의 균일성을 높이는 것이 가능해진다고 하는 이점도 얻을 수 있다.
또한, 상기 2 개의 처리를 동일 장치 내에서 연속적으로 행함으로써 반송 또는 대기 시간(Queing-time)을 저감시켜 생산 효율을 향상시키고 비용을 저감할 수 있다고 하는 효과도 있다.
도 3 및 도 4에 도시한 바와 같이, 성막 장치(80)는 하단이 개구된 천장이 있는 원통체 형상의 처리 용기(1)를 가지고 있다. 이 처리 용기(1)의 전체는, 예를 들면 석영에 의해 형성되어 있고, 이 처리 용기(1) 내의 천장에는 석영제의 천장판(2)이 설치되어 봉지(封止)되고 있다. 또한, 이 처리 용기(1)의 하단 개구부에는, 예를 들면 스테인레스 스틸에 의해 원통체 형상으로 성형된 매니폴드(3)가 O 링 등의 씰 부재(4)를 개재하여 연결되어 있다.
상기 매니폴드(3)는 처리 용기(1)의 하단을 지지하고 있고, 이 매니폴드(3)의 하방으로부터 피처리체로서 다수 매, 예를 들면 50 ~ 100 매의 반도체 웨이퍼(W)를 다단으로 재치 가능한 석영제의 웨이퍼 보트(5)가 처리 용기(1) 내로 삽입 가능하도록 되어 있다. 이 웨이퍼 보트(5)는 3 개의 지지 기둥(6)을 가지고(도 4 참조), 지지 기둥(6)에 형성된 홈에 의해 다수 매의 웨이퍼(W)가 지지되도록 되어 있다.
이 웨이퍼 보트(5)는 석영제의 보온통(7)을 개재하여 테이블(8) 상에 재치되어 있고, 이 테이블(8)은 매니폴드(3)의 하단 개구부를 개폐하는, 예를 들면 스테 인레스 스틸제의 덮개부(9)를 관통하는 회전축(10) 상에 지지된다.
그리고, 이 회전축(10)의 관통부에는, 예를 들면 자성 유체 씰(11)이 설치되어 있어 회전축(10)을 기밀하게 밀폐하면서 회전 가능하게 지지되어 있다. 또한, 덮개부(9)의 주변부와 매니폴드(3)의 하단부의 사이에는, 예를 들면 O 링으로 이루어지는 씰 부재(12)가 개재되어 있어, 이에 의해 처리 용기(1) 내의 밀폐성을 유지하고 있다.
상기의 회전축(10)은, 예를 들면 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 지지된 암(13)의 선단에 장착되어 있고, 웨이퍼 보트(5) 및 덮개부(9) 등을 일체적으로 승강시켜 처리 용기(1) 내에 대하여 삽탈(揷脫)되도록 되어 있다. 또한, 상기 테이블(8)을 상기 덮개부(9)측에 고정하여 설치하고, 웨이퍼 보트(5)를 회전시키지 않고 웨이퍼(W)의 처리를 행하도록 해도 좋다.
또한, 성막 장치(80)는 처리 용기(1) 내로 산소 함유 가스, 예를 들면 O2 가스를 공급하는 산소 함유 가스 공급 기구(14)와, 처리 용기(1) 내로 Si 소스 가스를 공급하는 Si 소스 가스 공급 기구(15)와, 처리 용기(1) 내로 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급하는 퍼지 가스 공급 기구(16)를 가지고 있다.
또한, 산소 함유 가스 공급 기구(14)는 본 발명에서의 산소 래디컬 공급 수단에 상당하고, Si 소스 가스 공급 기구(15)는 본 발명에서의 소스 가스 공급 수단에 상당한다.
산소 함유 가스 공급 기구(14)는, 산소 함유 가스 공급원(17)과, 산소 함유 가스 공급원(17)으로부터 산소 함유 가스를 유도하는 산소 함유 가스 배관(18)과, 이 산소 함유 가스 배관(18)에 접속되고 매니폴드(3)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장되는 석영관으로 이루어지는 산소 함유 가스 분산 노즐(19)을 가지고 있다. 이 산소 함유 가스 분산 노즐(19)의 수직 부분에는 복수의 가스 토출홀(19a)이 소정의 간격을 두고 형성되어 있고, 각 가스 토출홀(19a)로부터 수평 방향으로 처리 용기(1)를 향하여 실질적으로 균일하게 산소 함유 가스, 예를 들면 O2 가스를 토출할 수 있도록 되어 있다.
또한, Si 소스 가스 공급 기구(15)는, Si 소스 가스 공급원(20)과, 이 Si 소스 가스 공급원(20)으로부터 Si 소스 가스를 유도하는 Si 소스 가스 배관(21)과, 이 Si 소스 가스 배관(21)에 접속되고 매니폴드(3)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장되는 석영관으로 이루어지는 Si 소스 가스 분산 노즐(22)을 가지고 있다. 여기서는 Si 소스 가스 분산 노즐(22)은 2 개 설치되어 있고(도 4 참조), 각 Si 소스 가스 분산 노즐(22)에는 그 길이 방향을 따라 복수의 가스 토출홀(22a)이 소정의 간격을 두고 형성되어 있고, 각 가스 토출홀(22a)로부터 수평 방향으로 처리 용기(1) 내로 실질적으로 균일하게 유기 실리콘을 포함하는 Si 소스 가스를 토출할 수 있도록 되어 있다. 또한, Si 소스 가스 분산 노즐(22)은 1 개뿐이어도 좋다.
또한, 퍼지 가스 공급 기구(16)는, 퍼지 가스 공급원(23)과, 퍼지 가스 공급원(23)으로부터 퍼지 가스를 유도하는 퍼지 가스 배관(24)과, 이 퍼지 가스 배 관(24)에 접속되고 매니폴드(3)의 측벽을 관통하여 설치된 퍼지 가스 노즐(25)을 가지고 있다. 퍼지 가스로서는 불활성 가스, 예를 들면 N2 가스를 적합하게 이용할 수 있다.
산소 함유 가스 배관(18), Si 소스 가스 배관(21), 퍼지 가스 배관(24)에는 각각 개폐 밸브(18a, 21a, 24a) 및 매스 플로우 콘트롤러와 같은 유량 제어기(18b, 21b, 24b)가 설치되어 있어, 산소 함유 가스, Si 소스 가스 및 퍼지 가스를 각각 유량 제어하면서 공급할 수 있도록 되어 있다.
상기 처리 용기(1)의 측벽의 일부에는 산소 함유 가스의 플라즈마를 형성하는 플라즈마 생성 기구(30)가 형성되어 있다. 이 플라즈마 생성 기구(30)는, 상기 처리 용기(1)의 측벽을 상하 방향을 따라 소정의 폭으로 제거함으로써 상하로 길고 가늘게 형성된 개구(31)를 그 외측으로부터 덮도록 하여 처리 용기(1)의 외벽에 기밀하게 용접된 플라즈마 구획벽(32)을 가지고 있다. 플라즈마 구획벽(32)은 단면 오목부 형상을 이루며 상하로 길고 가늘게 형성되고, 예를 들면 석영으로 형성되어 있다. 또한, 플라즈마 생성 기구(30)는 이 플라즈마 구획벽(32)의 양측벽의 외면에 상하 방향을 따라 서로 대향하도록 하여 배치된 길고 가는 한 쌍의 플라즈마 전극(33)과, 이 플라즈마 전극(33)에 급전 라인(34)을 개재하여 접속되고 고주파 파워를 공급하는 고주파 전원(35)을 가지고 있다. 그리고, 상기 플라즈마 전극(33)에 고주파 전원(35)으로부터, 예를 들면 13.56 MHz의 고주파 전압을 인가함으로써 산소 함유 가스의 플라즈마가 발생할 수 있다. 또한 이 고주파 전압의 주파수는 13.56 MHz로 한정되지 않고 다른 주파수, 예를 들면 400 kHz 등을 이용해도 좋다.
상기와 같은 플라즈마 구획벽(32)을 형성함으로써 처리 용기(1)의 측벽의 일부가 오목부 형상으로 외측으로 돌출된 상태가 되고, 플라즈마 구획벽(32)의 내부 공간이 처리 용기(1)의 내부 공간에 일체적으로 연통된 상태가 된다. 또한, 개구(31)는 웨이퍼 보트(5)에 유지되어 있는 모든 웨이퍼(W)를 높이 방향에서 커버할 수 있도록 상하 방향으로 충분히 길게 형성되어 있다.
상기 산소 함유 가스 분산 노즐(19)은 처리 용기(1) 내를 상방향으로 연장하고 있는 도중에 처리 용기(1)의 반경 방향 외방으로 굴곡되고, 상기 플라즈마 구획벽(32) 내의 가장 내측 부분(처리 용기(1)의 중심으로부터 가장 떨어진 부분)을 따라 상방을 항하여 기립되어 있다. 이 때문에, 고주파 전원(35)이 온(on)되어 양전극(33) 간에 고주파 전계가 형성되었을 때에, 산소 함유 가스 분산 노즐(19)의 가스 토출홀(19a)로부터 토출된 산소 가스가 플라즈마화되어 처리 용기(1)의 중심을 향하여 확산되면서 흐른다.
상기 플라즈마 구획벽(32)의 외측에는 이를 덮도록 하여, 예를 들면 석영으로 이루어지는 절연 보호 커버(36)가 장착되어 있다. 또한, 이 절연 보호 커버(36)의 내측 부분에는 도시하지 않은 냉매 통로가 설치되어 있고, 예를 들면 냉각된 질소 가스를 유입시킴으로써 상기 플라즈마 전극(33)을 냉각할 수 있도록 되어 있다.
상기 2 개의 Si 소스 가스 분산 노즐(22)은 처리 용기(1)의 내측벽 상기 개구(31)를 사이에 둔 위치에 기립하여 설치되어 있고, 이 Si 소스 가스 분산 노즐(22)에 형성된 복수의 가스 토출홀(22a)로부터 처리 용기(1)의 중심 방향을 향하 여 Si 소스 가스로서 1 분자 내에 1 개 또는 2 개의 아미노기를 가지는 아미노실란 가스를 토출할 수 있도록 되어 있다.
한편, 처리 용기(1)의 개구(31)의 반대측 부분에는 처리 용기(1) 내를 진공 배기하기 위한 배기구(37)가 설치되어 있다. 이 배기구(37)는 처리 용기(1)의 측벽을 상하 방향으로 제거함으로써 길고 가늘게 형성되어 있다. 처리 용기(1)의 이 배기구(37)에 대응하는 부분에는 배기구(37)를 덮도록 단면 오목부 형상으로 성형된 배기구 커버 부재(38)가 용접에 의해 장착되어 있다. 이 배기구 커버 부재(38)는, 처리 용기(1)의 측벽을 따라 상방으로 연장되어 있고, 처리 용기(1)의 상방에 가스 출구(39)를 규정하고 있다. 그리고, 이 가스 출구(39)로부터 도시하지 않은 진공 펌프 등을 포함하는 진공 배기 기구에 의해 진공 배기된다. 그리고, 이 처리 용기(1)의 외주를 둘러싸도록 하여 이 처리 용기(1) 및 그 내부의 웨이퍼(W)를 가열하는 케이스 형상의 가열 장치(40)가 설치되어 있다.
성막 장치(80)의 각 구성부의 제어, 예를 들면 밸브(18a, 21a, 24a)의 개폐에 의한 각 가스의 공급·정지, 매스 플로우 콘트롤러(18b, 21b, 24b)에 의한 가스 유량의 제어, 및 고주파 전원(35)의 온·오프 제어, 가열 장치(40)의 제어 등은, 예를 들면 마이크로 프로세서(컴퓨터)로 이루어지는 콘트롤러(50)에 의해 행해진다. 콘트롤러(50)에는 공정 관리자가 성막 장치(80)를 관리하기 위하여 커멘드의 입력 조작 등을 행하는 키보드, 또는 성막 장치(80)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 이루어지는 유저 인터페이스(51)가 접속되어 있다.
또한, 콘트롤러(50)에는 성막 장치(80)에서 실행되는 각종 처리를 콘트롤 러(50)의 제어로 실현시키기 위한 제어 프로그램, 또는 처리 조건에 따라 성막 장치(80)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉 레시피가 저장된 기억부(52)가 접속되어 있다. 레시피는 기억부(52) 내의 기억 매체에 기억되어 있다. 기억 매체는 하드 디스크 또는 반도체 메모리여도 좋고, CD-ROM, DVD, 플래쉬 메모리 등의 휴대 가능한 것이어도 좋다. 또한, 다른 장치로부터, 예를 들면 전용 회선을 거쳐 레시피를 적절히 전송하도록 해도 좋다.
그리고, 필요에 따라 유저 인터페이스(51)로부터의 지시 등으로 임의의 레시피를 기억부(52)로부터 호출하여 콘트롤러(50)에 실행시킴으로써, 콘트롤러(50)의 제어 하에 성막 장치(80)에서의 원하는 처리가 행해진다.
이어서, 도 5 및 도 6을 참조하여 본 실시예에 따른 미세 패턴의 형성 방법의 형상 가공 공정 및 성막 공정에서의 처리에 대하여 설명한다.
도 5는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정 및 성막 공정에서의 가스 공급 타이밍을 도시한 타이밍 차트이다. 도 6은 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, Si 소스 가스에 BTBAS를 이용했을 때의 반도체 기판 상에서의 반응을 모식적으로 도시한 도이다.
예를 들면, 50 ~ 100 매의 반도체 웨이퍼(W)가 탑재된 상태의 웨이퍼 보트(5)를 미리 소정의 온도로 제어된 처리 용기(1) 내로 그 하방으로부터 상승시킴으로써 로드하고, 덮개부(9)로 매니폴드(3)의 하단 개구부를 폐쇄함으로써 처리 용기(1) 내를 밀폐 공간으로 한다. 웨이퍼(W)로서는 직경 300 mm인 것이 예시된다. 처리 용기(1) 내를 소정의 프로세스 압력으로 유지하고, 또한 가열 장치(40)로의 공급 전력을 제어하여 웨이퍼 온도를 상승시켜 프로세스 온도로 유지하고, 웨이퍼 보트(5)를 회전시킨다.
단, 본 실시예에서는 성막 공정을 개시하기 전에 레지스트 패턴의 형상을 가공하는 형상 가공 공정을 행한다.
도 5에 도시한 바와 같이, 형상 가공 공정에서는, 산소 함유 가스를 여기시켜 형성된 산소 래디컬을 처리 용기(1)로 공급하여 레지스트 패턴의 형상을 가공하는(슬리밍 처리하는) 공정(S1)을 실시한다.
공정(S1)의 산소 래디컬을 공급하는 공정에서는, 산소 함유 가스 공급 기구(14)의 산소 함유 가스 공급원(17)으로부터 산소 함유 가스로서, 예를 들면 O2 가스를 산소 함유 가스 배관(18) 및 산소 함유 가스 분산 노즐(19)을 거쳐 가스 토출홀(19a)로부터 토출하고, 이 때 플라즈마 생성 기구(30)의 고주파 전원(35)을 온(on)으로 하여 고주파 전계를 형성하고, 이 고주파 전계에 의해 산소 함유 가스, 예를 들면 O2 가스를 플라즈마화한다. 그리고, 이와 같이 플라즈마화된 산소 함유 가스를 처리 용기(1) 내로 공급한다. 이에 따라, 레지스트 패턴을 형성하는 포토레지스트가 애싱 처리됨으로써 레지스트 패턴의 폭 및 높이가 감소하는, 즉 슬리밍 처리가 행해진다. 이 처리 시간(T1)은 1 ~ 600 sec의 범위가 예시된다. 또한, 산소 함유 가스의 유량은 반도체 웨이퍼(W)의 탑재 매수에 따라서도 상이하지만, 100 ~ 20000 mL/min(sccm)가 예시된다. 또한, 고주파 전원(35)의 주파수는 13.56 MHz가 예시되고, 파워로서는 5 ~ 1000 W가 채용된다. 또한, 이 때의 처리 용기(1) 내의 압력은 13.3 ~ 665 Pa가 예시된다.
이 경우에, 산소 함유 가스로서는, O2 가스 외에 NO 가스, N2O 가스, H2O 가스, O3 가스를 들 수 있고, 이들을 고주파 전계에 의해 플라즈마화하여 이용한다. 산소 래디컬이면 산소 함유 가스의 플라즈마에 한정되지 않지만, 산소 함유 가스의 플라즈마를 형성하는 것이 바람직하고, 그 중에서도 O2 플라즈마가 바람직하다. 산화제로서 산소 래디컬, 특히 산소 함유 가스의 플라즈마를 이용함으로써 슬리밍 처리를 행할 때의 기판 온도가 300℃ 이하, 더욱 바람직하게는 100℃ 이하, 이상적으로는 실온에서도 슬리밍 처리가 가능하다.
다음에, 형상 가공 공정에 이어서 처리 용기(1) 내에서 성막 공정을 행한다.
우선, 형상 가공 공정 후 성막 공정을 개시하기 전에 공정(S2)이 행해진다. 공정(S2)은 공정(S1) 후에 처리 용기(1) 내에 잔류하는 가스를 제거하여 다음의 공정에서 원하는 반응을 발생시키는 공정이며, 처리 용기(1) 내를 진공 배기하면서 퍼지 가스 공급 기구(16)의 퍼지 가스 공급원(23)으로부터 퍼지 가스 배관(24) 및 퍼지 가스 노즐(25)을 거쳐 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급함으로써 행해진다. 이 공정(S2)의 시간(T2)으로서는 1 ~ 60 sec가 예시된다. 또한, 퍼지 가스 유량으로서는 0.1 ~ 5000 mL/min(sccm)가 예시된다. 또한, 이 공정(S2)은 처리 용기(1) 내에 잔류하고 있는 가스를 제거할 수 있으면, 퍼지 가스를 공급하지 않고 모든 가스의 공급을 정지한 상태에서 진공 배기를 계속하여 행하도 록 해도 좋다. 단, 퍼지 가스를 공급함으로써 단시간에 처리 용기(1) 내의 잔류 가스를 제거할 수 있다. 또한, 이 때의 처리 용기(1) 내의 압력은 0.133 ~ 665 Pa가 예시된다. 또한, 기판 온도는 실온(25℃) ~ 700℃, 바람직하게는 실온(25℃) ~ 500℃, 더욱 바람직하게는 실온(25℃) ~ 300℃이 예시된다.
이어서, 처리 용기(1) 내를 소정의 프로세스 압력으로 유지하고, 또한 가열 장치(40)로의 공급 전력을 제어하여 웨이퍼 온도를 상승시켜 프로세스 온도로 유지하고, 웨이퍼 보트(5)를 회전시킨 상태에서 성막 처리를 개시한다.
도 5에 도시한 바와 같이, 본 실시예에서는, 유기 실리콘을 포함하는 Si 소스 가스를 처리 용기(1) 내로 유입시켜 Si 소스를 흡착시키는 공정(S3)과, 산소 함유 가스를 여기시켜 형성된 산소 래디컬을 처리 용기(1)로 공급하여 Si 소스 가스를 산화시키는 공정(S4)을 교호적으로 반복한다. 또한, 본 실시예에서는, 공정(S3)과 공정(S4)의 사이에 처리 용기(1) 내로부터 처리 용기(1) 내에 잔류하는 가스를 제거하는 공정(S5)을 행한다.
구체적으로, 본 실시예에서는, 공정(S3)에서 Si 소스 가스 공급 기구(15)의 Si 소스 가스 공급원(20)으로부터 Si 소스 가스로서 1 분자 내에 2 개의 아미노기를 가지는 아미노실란 가스, 예를 들면 BTBAS를 Si 소스 가스 배관(21) 및 Si 소스 가스 분산 노즐(22)을 거쳐 가스 토출홀(22a)로부터 처리 용기(1) 내로 T3 시간 공급한다. 이에 따라 반도체 웨이퍼에 Si 소스 가스를 흡착시킨다. 이 때의 시간(T3)은 1 ~ 600 sec가 예시된다. 또한, Si 소스 가스의 유량은 10 ~ 500 mL/min(sccm)가 예시된다. 또한, 이 때의 처리 용기(1) 내의 압력은 13.3 ~ 665 Pa가 예시된다.
공정(S4)의 산소 래디컬을 공급하는 공정에서는, 공정(S1)과 마찬가지로 산소 함유 가스 공급 기구(14)의 산소 함유 가스 공급원(17)으로부터 산소 함유 가스로서, 예를 들면 O2 가스를 산소 함유 가스 배관(18) 및 산소 함유 가스 분산 노즐(19)을 거쳐 가스 토출홀(19a)로부터 토출하고, 이 때 플라즈마 생성 기구(30)의 고주파 전원(35)을 온(on)으로 하여 고주파 전계를 형성하고, 이 고주파 전계에 의해 산소 함유 가스, 예를 들면 O2 가스를 플라즈마화한다. 그리고, 이와 같이 플라즈마화 된 산소 함유 가스를 처리 용기(1) 내로 공급한다. 이에 따라 반도체 웨이퍼(W)에 흡착된 Si 소스가 산화된 SiO2가 형성된다. 이 처리 시간(T4)은 1 ~ 600 sec의 범위가 예시된다. 또한, 산소 함유 가스의 유량은 반도체 웨이퍼(W)의 탑재 매수에 따라서도 상이하지만, 100 ~ 20000 mL/min(sccm)가 예시된다. 또한, 고주파 전원(35)의 주파수는 13.56 MHz가 예시되고, 파워로서는 5 ~ 1000 W가 채용된다. 또한, 이 때의 처리 용기(1) 내의 압력은 13.3 ~ 665 Pa가 예시된다.
이 경우에도, 산소 함유 가스로서는, 공정(S1)과 마찬가지로, O2 가스 외에 NO 가스, N2O 가스, H2O 가스, O3 가스를 들 수 있고, 이들을 고주파 전계에 의해 플라즈마화하여 산화제로서 이용한다. 산화제로서는 산소 래디컬이면 산소 함유 가스의 플라즈마에 한정되지 않지만, 산소 함유 가스의 플라즈마를 형성하는 것이 바람직하고, 그 중에서도 O2 플라즈마가 바람직하다. 산화제로서 산소 래디컬, 특히 산소 함유 가스의 플라즈마를 이용함으로써, SiO2 막의 성막이 300℃ 이하, 더욱 바 람직하게는 100℃ 이하, 이상적으로는 실온에서도 성막이 가능하다.
또한, 공정(S3)과 공정(S4)의 사이에 행해지는 공정(S5)은, 공정(S3) 후 또는 공정(S4) 후에 처리 용기(1) 내에 잔류하는 가스를 제거하여 다음의 공정에서 원하는 반응을 발생시키는 공정이며, 처리 용기(1) 내를 진공 배기하면서 퍼지 가스 공급 기구(16)의 퍼지 가스 공급원(23)으로부터 퍼지 가스 배관(24) 및 퍼지 가스 노즐(25)을 거쳐 퍼지 가스로서 불활성 가스, 예를 들면 N2 가스를 공급함으로써 행해진다. 이 공정(S5) 시간(T5)으로서는 1 ~ 60 sec가 예시된다. 또한, 퍼지 가스 유량으로서는 0.1 ~ 5000 mL/min(sccm)가 예시된다. 또한, 이 공정(S5)은 처리 용기(1) 내에 잔류하고 있는 가스를 제거할 수 있으면, 퍼지 가스를 공급하지 않고 모든 가스의 공급을 정지한 상태에서 진공 배기를 계속하여 행하도록 해도 좋다. 단, 퍼지 가스를 공급함으로써 단시간에 처리 용기(1) 내의 잔류 가스를 제거할 수 있다. 또한, 이 때의 처리 용기(1) 내의 압력은 0.133 ~ 665 Pa가 예시된다.
이와 같이 하여, 공정(S3)과 공정(S4) 간에 처리 용기(1) 내로부터 가스를 제거하는 공정(S5)을 사이에 두고 교호적으로 간헐적으로 Si 소스 가스와 산소 래디컬로서의 산소 함유 플라즈마를 반복 공급함으로써, SiO2막의 얇은 막을 한층씩 반복 적층하여 소정의 두께로 할 수 있다.
이 때의 반응예를 도 6에 도시한다. 도 6에는 반응예가 모식적으로 도시된다. 본 반응예에서는, 일례로서 Si 소스 가스에 BTBAS를 이용했을 때를 예시한다.
도 6(a)에 도시한 바와 같이, 이미 퇴적된 SiO2막의 표면에는 OH 기가 존재 하고 있고, 거기에 Si 소스로서, 예를 들면 BTBAS가 공급된다. 그리고, Si 소스가 흡착되는 공정(공정(S3))에서는, 도 6(b)에 도시한 바와 같이, BTBAS의 Si가 표면의 OH 기의 O와 반응하여 트리메틸아미노산을 이탈시킨다. 이 때, 아미노산을 2 개 가지는 아미노실란인 BTBAS는 OH와의 반응성이 높고, 또한, 구조적으로 이러한 Si의 반응의 장해가 되기 어렵기 때문에, Si의 흡착 반응이 신속하게 진행된다. 이 때 이탈한 트리메틸아미노기는 공정(S3)에 의해 처리 용기(1)로부터 제거된다. 그리고, 다음의 산화 공정(공정(S4))에서는, 도 6(c)에 도시한 바와 같이, 트리메틸아미노기가 이탈한 후의 Si 화합물이 O2 가스 플라즈마와 같은 산소 래디컬에 의해 산화되어 SiO2가 된다(단, 표면에는 H가 흡착되어 OH 기가 형성되어 있다). 이와 같이 O2 가스 플라즈마와 같은 산소 래디컬을 이용한 산화 반응은 순수한 화학적 반응과 달리 높은 온도가 불필요하므로 저온에서의 반응이 가능하다.
BTBAS는 Si 소스 가스로서 이용하는 1 분자 내에 2 개의 아미노기를 가지는 아미노실란 가스이다. 이러한 아미노실란 가스로서는, 상술한 바와 같이 상기 BTBAS 외에 BDBAS(비스디에틸아미노실란), BDMAS(비스디메틸아미노실란)을 들 수 있다.
또한, Si 소스 가스에는 1 분자 내 3 개 이상의 아미노기를 가지는 아미노실란 가스를 이용하는 것도 가능하며, 1 분자 내에 1 개의 아미노기를 가지는 아미노실란 가스를 이용하는 것도 가능하다.
본 실시예에서의 성막 공정을 행하면, Si 소스로서 유기 실리콘을 포함하는 소스 가스를 이용하고, 산화 처리에서 반응이 온도를 상승시키지 않고 진행하는 O2 가스 플라즈마와 같은 산소 래디컬을 이용하여 이들을 교호적으로 공급하므로, 양호한 막질의 SiO2막을 100℃ 이하, 나아가서는 실온이라고 하는, 종래에는 생각할 수 없었던 저온에서 또한 높은 성막 레이트로 성막할 수 있다.
이와 같이, 본 실시예에서는, 원리적으로 100℃ 이하라고 하는 극저온에서 성막할 수 있지만, 그보다 높은 온도여도 성막이 가능하다. 단, 성막 온도가 상승함에 따라 막두께 불균일이 커지는 것과 레지스트 패턴(104b)에 미치는 열적인 영향을 고려하면, 성막 온도는 100℃ 이하인 것이 가장 바람직하다.
이어서, 도 7a 내지 도 7c, 도 8a 내지 도 8d를 참조하여 본 실시예에 따른 미세 패턴의 형성 방법의 형상 가공 공정을 행함으로써, 공정을 삭감할 수 있는 작용 효과에 대하여 설명한다.
도 7a 내지 도 7c는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정 및 성막 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 도 8a 내지 도 8d는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정을 종래의 방법으로 행하는 경우의 형상 가공 공정 및 성막 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 도 7a, 도 7b, 도 7c는 도 2c, 도 2d, 도 2e에 대응되고, 도 8a, 도 8b, 도 8d는 도 2c, 도 2d, 도 2e에 대응된다.
본 실시예에 따른 미세 패턴의 형성 방법에서는, 도 2c에 도시한 패턴 가공 공정이 행해진 후, 레지스트(104)가 레지스트 패턴(104a)으로 가공된 반도체 웨이퍼(W)는, 도 7a에 도시한 바와 같이 성막 장치(80) 내로 도입된다. 이어서, 도 7b에 도시한 바와 같이, 성막 장치(80) 내에서 산소 함유 가스 플라즈마 또는 오존 가스를 이용하여 레지스트 패턴(104a)의 형상을 레지스트 패턴(104b)으로 가공하는 형상 가공 공정(성막 장치 내 슬리밍 처리 공정)이 행해진다. 이어서, 도 7c에 도시한 바와 같이, 성막 장치(80) 내에서 계속해서 형상 가공 공정에 의해 형상이 가공된 레지스트 패턴(104b) 및 유기막(103) 상에 아미노실란계 전구체와 산소 함유 가스 플라즈마 또는 오존 가스를 이용하여 SiO2막(105)을 성막하는 성막 공정이 행해진다. 그 후, 에칭 장치로 도입되고 도 2f에 도시한 에칭 공정이 행해진다.
여기서, 종래는 도 8a 내지 도 8d에 도시한 바와 같이, 도 2c에 도시한 패턴 가공 공정이 행해진 후 레지스트(104)가 레지스트 패턴(104a)으로 가공된 반도체 웨이퍼(W)는, 도 8a에 도시한 바와 같이 레지스트 도포 장치(또는 애싱 장치)(81)로 도입된다. 이어서, 도 8b에 도시한 바와 같이, 레지스트 도포 장치(또는 애싱 장치)(81) 내에서 포토리소그래피 기술(또는 산소 함유 가스 플라즈마)을 이용하여 레지스트 패턴(104a)의 형상을 레지스트 패턴(104b)으로 가공하는 형상 가공 공정이 행해진다. 레지스트(104)가 레지스트 패턴(104b)으로 가공된 반도체 웨이퍼(W)는, 도 8c에 도시한 바와 같이 성막 장치(80) 내로 도입된다. 이어서, 도 8d에 도시한 바와 같이, 성막 장치(80) 내에서 형상 가공 공정에 의해 형상이 가공된 레지스트 패턴(104b) 및 유기막(103) 상에 아미노실란계 전구체와 산소 함유 가스 플라 즈마 또는 오존 가스를 이용하여 SiO2막(105)를 성막하는 성막 공정이 행해진다. 그 후, 반도체 웨이퍼(W)는 에칭 장치로 도입되고 도 2f에 도시한 에칭 공정이 행해진다.
여기서, 레지스트 도포 장치 내에서 형상 가공 공정(도포 장치 내 슬리밍 처리 공정)을 행하는 경우에는, 현상 장치 내에서 고온에서 현상(고온 현상 처리)하고, 도포 장치 내에서 레지스트 패턴에 산을 포함하는 용액을 도포(산화 처리)하고, 열처리를 행하여 레지스트 패턴 중으로 산을 확산시켜 레지스트 패턴의 표면에 새로운 가용(可溶)층을 형성(산확산 처리)하고, 재차 현상 장치 내에서 가용층을 현상(현상 처리)함으로써 레지스트 패턴의 형상 가공을 행한다.
도 7a 내지 도 7c, 도 8a 내지 도 8d의 공정을 비교하면, 도 8a 내지 도 8d에 도시한 종래의 미세 패턴의 형성 방법에서는 도 7a 내지 도 7c에 도시한 본 실시예에 따른 미세 패턴의 형성 방법보다 공정이 많다. 즉, 본 실시예에 따른 미세 패턴의 형성 방법에서는, 종래 레지스트 도포 장치(또는 애싱 장치)에서 행했던 형상 가공 공정(슬리밍 공정)을, 처리 용기에 슬리밍 공정을 행하기 위한 기구(산소 함유 가스 플라즈마 또는 오존 가스를 공급하는 산소 래디컬 공급 수단)를 구비한 성막 장치를 이용함으로써 형상 가공 공정(슬리밍 공정)과 성막 공정을 연속하여 처리할 수 있기 때문에, 공정을 삭감할 수 있다.
또한, 종래의 미세 패턴의 형성 방법에서는, 레지스트 도포 장치(또는 애싱 장치)에서 형상 가공 공정(슬리밍 공정)을 행하는 예를 비교예로서 설명했지만, 레 지스트 도포 장치(또는 애싱 장치) 대신에 에칭 장치에서 형상 가공 공정(트리밍 공정)을 행하는 예도 있고, 이 에칭 장치에서 행하는 예에 대해서도 본 실시예에 따른 미세 패턴의 형성 방법은 형상 가공 공정(슬리밍 공정)과 성막 공정을 연속하여 처리할 수 있기 때문에 공정을 삭감할 수 있다.
또한, 본 실시예에 따른 마스크 패턴의 형성 방법에서는, 단계(S13)가 행해져 레지스트막(104)으로 이루어지는 레지스트 패턴(104a)이 형성된 기판(101)은, 단계(S15)(성막 공정)에서 산화 실리콘막(105)이 성막되는 성막 장치 내로 도입된다. 이어서, 성막 장치 내에서 산소 함유 가스 플라즈마 또는 오존 가스를 이용하여 레지스트 패턴(104a)의 형상을 트리밍하여 레지스트 패턴(104b)을 형성하는 형상 가공 공정(슬리밍 처리 공정)이 행해진다. 이어서, 성막 장치 내에서 계속하여 슬리밍 처리된 레지스트 패턴(104b)의 표면을 등방적(等方的)으로 피복한다.
도 2b로부터 도 2d를 이용하여 상술한 바와 같이, 단계(S14)에서 레지스트막(104)으로 이루어지는 레지스트 패턴(104a)의 폭치수(LL4)는 레지스트 패턴(104b)의 폭치수(LL1)로 감소된다. 또한, 단계(S15)에서 레지스트 패턴(104b)의 폭치수(LL1)는 레지스트 패턴(104b)의 각 열의 양측을 막두께(D)를 가지는 산화 실리콘막(105)으로 피복됨으로써 LL1 + D × 2로 증대된다. 또한, 단계(S14)에서의 슬리밍 시간(도 5에 도시된 T1)과 단계(S15)에서의 성막 시간(도 5에 도시된 T6 = T3 + T5 + T4 + T5 + T3 + …)을 각각 독립적으로 미세 조정하는 것이 가능하다. 구체적으로는, 단계(S14)에서의 슬리밍량에 따라 단계(S15)에서의 산화 실리콘막의 성막 시간(MLD의 경우에는 실리콘 소스 가스와 산소 함유 가스를 반복하여 공 급하는 회수)을 조정하면 된다.
따라서, 슬리밍 시간(T1)과 성막 시간(T6)의 비율을 독립적으로 미세 조정할 수 있기 때문에, 단계(S17)에서의 제 2 패턴(마스크 패턴)(107)에서 스페이스폭(LL3과 SS3)을 동일하게 할 수 있다. 그 결과, 제 2 패턴(마스크 패턴)(107)을 레지스트 패턴(104b)의 대략 절반의 피치를 가지는 조밀한 등배(等配) 패턴으로 할 수 있다.
구체적으로, LL4 = SS4 = 40 nm의 경우에 대하여 생각한다. 슬리밍 시간(T1)을 10 min로 함으로써 LL1을 10 nm로 할 수 있다. 또한, 도 5에서의 T3을 15 sec, T4를 30 sec, T5를 15 sec로 하고 반복 회수를 50 회로 함으로써, 성막 시간(T6)을 50 min로 하고 D를 10 nm로 할 수 있다. 이에 따라 LL1 = 10 nm, SS3 = 50 nm가 되어 제 2 패턴(마스크 패턴)(107)을 조밀한 등배(等配) 패턴으로 할 수 있다.
(슬리밍 처리를 행할 때의 레지스트 패턴의 형상 제어)
이어서, 도 9a 및 도 9b, 도 10a 및 도 10b, 도 11 내지 도 14, 도 15a 및 도 15b, 도 16a 및 도 16b를 참조하여, 본 실시예에서 선단과 근원 사이의 폭치수의 차이가 작아지도록 유지하면서 슬리밍 처리할 수 있고, 슬리밍 처리된 레지스트 패턴의 형상을 정밀하게 제어할 수 있는 효과에 대하여 설명한다. 이하에서는, 슬리밍 처리 공정 후의 레지스트 패턴의 폭치수 등을 측정하여 평가를 행하였으므로, 그 평가 결과에 대하여 설명한다.
실험예 1로서, 패턴 가공 공정을 행한 후의 레지스트 패턴에 대하여, 성막 장치 내에서 산소 함유 가스 플라즈마를 이용하여 형상 가공 공정(성막 장치 내 슬 리밍 처리 공정)을 행하고, 그 후 실리콘 산화막의 성막 공정을 행하였다. 실험예 1에서의 형상 가공 공정(성막 장치 내 슬리밍 처리 공정) 및 성막 공정의 조건을 이하에 나타낸다.
(실험예 1)
(A) 성막 장치 내 슬리밍 처리
산소 함유 가스 : O2 가스
기판 온도 : 가열 없음
성막 장치 내 압력 : 66.7 ~ 227 Pa
가스 유량 : 5 ~ 30 slm
고주파 전원 주파수 : 13.56 MHz
고주파 전원 파워 : 50 ~ 500 W
(B) 성막 처리
(1) 원료 가스 공급 조건
원료 가스 : DIPAS
기판 온도 : 가열 없음
성막 장치 내 압력 : 26.7 ~ 667 Pa
가스 유량 : 50 ~ 1000 sccm
공급 시간 : 1 ~ 10 sec
(2) 산화 가스 공급 조건
산화 가스 : 산소 가스
기판 온도 : 가열 없음
성막 장치 내 압력 : 66.7 ~ 227 Pa
가스 유량 : 5 ~ 30 slm
공급 시간 : 5 ~ 30 sec
고주파 전원 주파수 : 13.56 MHz
고주파 전원 파워 : 50 ~ 500 W
(3) 반복 조건
합계 사이클 : 140 ~ 150 사이클
도 9a 및 도 9b에, 실험예 1에서 (A) 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴을 주사형 전자 현미경 SEM(Scanning Electron Microscope)을 이용하여 촬영한 사진을 도시한다. 도 9a 및 도 9b는 레지스트 패턴의 단면을 각각 경사 상방 및 정면에서 촬영한 사진(좌측)과 사진을 모식적으로 설명하는 도(우측)를 도시한 도이다. 도 9a 및 도 9b에 도시한 바와 같이, 레지스트 패턴의 폭치수를 CD(도 2e에서 설명한 D와 동일하다)로 하고, 높이 치수를 H로 한다. 또한, 선단에서의 레지스트 패턴의 폭치수를 T로 하고, 근원으로부터 선단까지의 절반 높이의 위치에서의 레지스트 패턴의 폭치수를 M으로 하고, 근원에서의 레지스트 패턴의 폭치수를 B로 한다.
또한, 비교예 1로서, 패턴 가공 공정을 행한 후의 레지스트 패턴에 대하여 고온 현상 처리하고 산화 처리하고 산 확산 처리하고 현상 처리함으로써, 형상 가 공 공정(도포 장치 내 슬리밍 처리 공정)을 행하고, 그 후 실리콘 산화막의 성막 공정을 행하였다. 비교예 1에서의 형상 가공 공정(도포 장치 내 슬리밍 처리 공정) 및 성막 공정의 조건을 이하에 나타낸다.
(비교예 1)
(A) 도포 장치 내 슬리밍 처리
(1) 고온 현상 처리 조건
현상액 : NMD3
처리 온도 : 23 ~ 50℃
처리 시간 : 60 sec
(2) 산화 처리 조건
도포액 : TK 시너
회전 속도 : 1000 ~ 1500 rpm
처리 시간 : 60 sec
(3) 산 확산 처리 조건
처리 온도 : 50 ~ 90℃
(4) 현상 처리 조건
현상액 : NMD3
처리 온도 : 23 ~ 50℃
처리 시간 : 60 sec
(B) 성막 처리
실험예 1의 (B) 성막 처리와 동일함
도 10a 및 도 10b에, 비교예 1에서 (A) 도포 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴을 SEM을 이용하여 촬영한 사진을 도시한다. 도 10a 및 도 10b는 레지스트 패턴의 단면을 각각 경사 상방 및 정면에서 촬영한 사진(좌측)과 사진을 모식적으로 설명하는 도(우측)를 도시한 도이다. 레지스트 패턴의 각 치수인 CD, H, T, M, B의 정의는, 도 10a 및 도 10b에 도시한 바와 같이, 도 9a 및 도 9b에 도시한 실험예 1에서의 정의와 동일하다. 또한, 도 10a 및 도 10b는 도 9a 및 도 9b와 동일한 배율로 촬영된 것이다.
도 9a 및 도 9b와, 도 10a 및 도 10b를 비교하면, 도 9a 및 도 9b에서의 레지스트 패턴이 도 10a 및 도 10b에서의 레지스트 패턴보다 크고, 또한 선단과 근원 사이의 폭치수의 차이가 작은(끝으로 갈수록 가늘어지지 않는) 것이 분명하다.
이어서, 실험예 1, 비교예 1을 행하여 얻어진 레지스트 패턴에서의 CD, H, T, M, B 및 선단과 근원 사이의 폭치수의 비율(T/B)에 대하여 구체적인 치수치를 표 1에 나타낸다. 또한, 표 1은 슬리밍 처리 전의 레지스트 패턴의 각 폭치수를 나타낸다.
슬리밍 처리의 종류 슬리밍 처리에서의 고주파 전원의 파워 패턴의 높이 치수 H(nm) 선단에서의 패턴의 폭치수 T(nm) 근원으로부터 선단까지의 절반 높이의 위치에서의 패턴의 폭치수 M(nm) 근원에서의 패턴의 폭치수 B(nm) 선단과 근원 사이의 폭치수의 비율 T/B 패턴의 폭치수 CD(nm) Y 방향을 따르는 CD의 편차 LWR(nm)
슬리밍 처리 전 - 114.2 42.0 44.7 51.3 0.82 43.4 4.6
실험예 1 성막 장치 내 슬리밍 처리 낮음 112.2 37.3 37.2 43.8 0.85 38.5 3.9
약간 낮음 111.9 35.8 35.6 40.1 0.89 35.4 4.2
약간 높음 109.5 33.9 32.3 35.5 0.95 33.4 3.6
높음 108.8 32.6 30.7 34.5 0.94 33.0 3.9
비교예 1 도포 장치 내 슬리밍 처리 높음 104.1 24.0 23.4 30.5 0.79 27.8 3.7
표 1에 나타낸 각 폭치수에 기초하여 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴의 각 치수를 성막 장치 내 슬리밍 처리를 행하기 전의 각 치수, 혹은 도포 장치 내 슬리밍 처리를 행한 후의 각 치수와 비교하여 도 11 내지 도 14의 그래프에 나타낸다. 도 11은 폭치수(CD)를 나타내고, 도 12는 높이 치수(H)를 나타내고, 도 13은 선단과 근원 사이의 폭치수의 비율(T/B)을 나타내고, 도 14는 선폭 거칠기 LWR(Line Width Roughness)를 나타낸다. 여기서, 선폭 거칠기(LWR)란, 도 9a 및 도 9b, 도 10a 및 도 10b에서 패턴이 연장되는 방향(Y 방향)을 따르는 복수의 개소에서의 CD치의 불균일을 나타낸 파라미터이며, 표준 편차를 σ로 할 때의 3 × σ에 상당한다.
도 11에 도시한 바와 같이, 성막 장치 내 슬리밍 처리에서 고주파 전원의 파워를 어느 값으로 조절한 경우에도, 처리 전에 비하면 레지스트 패턴의 폭치수(CD)는 감소되지만, 도포 장치 내 슬리밍 처리보다는 감소되지 않는 것을 알 수 있다.
또한, 도 12에 도시한 바와 같이, 성막 장치 내 슬리밍 처리에서 고주파 전원의 파워를 어느 값으로 조절한 경우에도, 처리 전에 비하면 레지스트 패턴의 높이 치수(H)는 감소되지만, 도포 장치 내 슬리밍 처리보다는 감소되지 않는다.
또한, 도 13에 도시한 바와 같이, 성막 장치 내 슬리밍 처리에서 고주파 전원의 파워를 어느 값으로 조절한 경우에도, 처리 전 및 도포 장치 내 슬리밍 처리 후에 비해 레지스트 패턴의 선단과 근원 사이의 폭치수의 비율(T/B)은 증대하여 1에 가까운 값이 된다. 즉, 성막 장치 내 슬리밍 처리에서는, 도포 장치 내 슬리밍 처리에 대하여 선단과 근원 사이의 폭치수의 차이를 줄일 수 있다.
또한, 도 14에 도시한 바와 같이, 성막 장치 내 슬리밍 처리에서 고주파 전원의 파워를 어느 값으로 조절한 경우에도, 처리 전에 비해 레지스트 패턴의 LWR은 작다. 또한, 도포 장치 내 슬리밍 처리와는 동일한 정도의 값이지만, CD의 감소폭은 도포 장치 내 슬리밍 처리가 크다는 점에서, CD의 감소폭에 대한 LWR의 비율은 성막 장치 내 슬리밍 처리 쪽이 작아진다. 즉, 성막 장치 내 슬리밍 처리에서는, 도포 장치 내 슬리밍 처리에 대하여 패턴이 연장되는 방향(Y 방향)을 따르는 CD의 불균일을 나타낸 LWR의 값도 개선할 수 있다.
도 15a 및 도 15b에, 실험예 1에서 (B) 성막 처리를 행한 후의 레지스트 패턴을 SEM을 이용하여 촬영한 사진을 도시한다. 도 15a 및 도 15b는 레지스트 패턴의 단면을 각각 경사 상방 및 정면에서 촬영한 사진(좌측)과 사진을 모식적으로 설명하는 도(우측)를 도시한 도이다. 또한, 도 16에, 비교예 1에서 (B) 성막 처리를 행한 후의 레지스트 패턴을 SEM을 이용하여 촬영한 사진을 도시한다. 도 16a 및 도 16b는 레지스트 패턴의 단면을 각각 경사 상방 및 정면에서 촬영한 사진(좌측)과 사진을 모식적으로 설명하는 도(우측)를 도시한 도이다. 또한, 도 16a 및 도 16b는 도 15a 및 도 15b와 동일한 배율로 촬영된 것이다.
도 15a 및 도 15b와, 도 16a 및 도 16b을 비교하면, 도 15a 및 도 15b에서의 레지스트 패턴이 도 16a 및 도 16b에서의 레지스트 패턴보다 크고, 또한, 선단과 근원 사이의 폭치수의 차이가 작은(끝으로 갈수록 가늘어지지 않는) 것이 분명하다. 즉, 도 15a 및 도 15b와, 도 16a 및 도 16b의 사이의 레지스트 패턴의 폭치수의 대소 관계는 도 15a 및 도 15b와, 도 16a 및 도 16b에서도 유지되고 있다. 따라서, 레지스트 패턴이 끝으로 갈수록 가늘어지지 않도록 형상 좋게 슬리밍 처리하기 위해서는, 성막 장치 내 슬리밍 처리가 도포 장치 내 슬리밍 처리보다 뛰어나다.
이상, 본 실시예에 따른 미세 패턴의 형성 방법 및 성막 장치에 따르면, 처리 용기 내에서 산소 함유 가스를 이용하여 레지스트 패턴을 슬리밍 처리한 후, 동일한 처리 용기 내에서 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 교호적으로 공급하면서 실리콘 산화막을 성막할 수 있다. 따라서, 레지스트 패턴의 표면을 청정하게 유지한 채로 실리콘 산화막을 성막할 수 있고, 해상 한계 이하의 피치를 가지는 미세 패턴 형상의 반도체 기판의 면 내에서의 균일성을 보다 양호하게 할 수 있다. 또한, 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있기 때문에, 미세 패턴을 형성하는 프로세스의 비용을 저감할 수 있다. 또한, 레지스트 패턴의 선단과 근원 사이의 폭치수의 차이가 작아지도록 유지하면서 슬리밍 처리 또는 성막 처리할 수 있고, 레지스트 패턴이 연장되는 방향을 따르는 폭치수의 불균일을 줄일 수 있다. 따라서, 레지스트 패턴의 선단과 근원 사이의 폭치수의 차이가 작아지도록 유지하면서 슬리밍 처리 또는 성막 처리할 수 있어, 형상이 뛰어난 미세 패턴을 형성할 수 있다.
또한, 본 실시예에서, 유기 실리콘을 포함하는 Si 소스 가스로서 아미노실란 가스, 예를 들면 BTBAS를 이용한 경우를 설명했지만, 다른 아미노실란 가스를 이용한 경우 및 에톡시실란 가스를 이용한 경우에도 마찬가지로 실시할 수 있다.
(제 2 실시예)
이어서, 도 17을 참조하여 제 2 실시예에 따른 미세 패턴의 형성 방법 및 미세 패턴의 형성 방법에 사용되는 성막 장치를 설명한다.
도 17은 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 종단면도이다.
본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치는 오존 함유 가스 공급 기구를 구비하는 점에서, 제 1 실시예에서의 성막 장치와 상이하다.
도 17에 도시한 바와 같이, 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치(80a)의 기본 구성은 도 3에 도시한 성막 장치와 동일하며, 특히 산소 함유 가스 공급 기구(14)와 Si 소스 가스 공급 기구(15)와 플라즈마 생성 기구(30)를 구비하고 있으므로, 제 1 실시예에서 설명한 실리콘 산화막(105)의 성막과 동일한 성막을 행할 수 있다.
한편, 성막 장치(80a)는 오존 함유 가스 공급 기구(14a)를 구비하고 있다. 오존 함유 가스 공급 기구(14a)는 오존 함유 가스 공급원(17a)을 구비하고, 이 오존 함유 가스 공급원(17a)은 유량 제어기(18d) 및 개폐 밸브(18c)를 개재하여, 예를 들면 산소 함유 가스 배관(18)에 접속되어 있다. 이에 따라 오존 함유 가스를 처리 용기(1) 내로 공급할 수 있다.
슬리밍 처리 시에는 오존 함유 가스, 예를 들면 오존 가스를 이용한다. 오존 가스를 이용하여 슬리밍 처리할 때에는 플라즈마를 생성하지 않아도 좋다. 이 때문에 오존 함유 가스는, 예를 들면 플라즈마 생성 기구(30)에서는 플라즈마 전극(33)에 고주파 전압을 인가하지 않은 상태에서 분산 노즐(19)을 거쳐 처리 용기(1) 내로 공급하도록 하면 좋다.
본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치(80a)에 따르면, 산소 함유 가스 공급 기구(14), Si 소스 가스 공급 기구(15) 및 플라즈마 생성 기구(30)에 더하여 오존 함유 가스 공급 기구(14a)를 구비하고 있으므로, 처리 용기(1) 내에서 오존 함유 가스를 이용하여 레지스트 패턴을 슬리밍 처리한 후, 동일한 처리 용기(1) 내에서 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 교호적으로 공급하면서 실리콘 산화막을 성막할 수 있다. 따라서, 레지스트 패턴의 표면을 청정하게 유지한 채로 실리콘 산화막을 성막할 수 있고, 해상 한계 이하의 피치를 가지는 미세 패턴 형상의 반도체 기판의 면 내에서의 균일성을 보다 양호하게 할 수 있다. 또한, 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있기 때문에, 미세 패턴을 형성하는 프로세스의 비용을 저감할 수 있다.
(제 3 실시예)
이어서, 도 18, 도 19a 내지 도 19j를 참조하여 본 발명의 제 3 실시예에 따른 미세 패턴의 형성 방법에 대하여 설명한다.
도 18은 본 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다. 도 19a 내지 도 19j는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 또한, 도 18의 단계(S21) 내지 단계(S30)의 각각의 공정이 행해진 후의 미세 패턴의 구조는, 도 19a 내지도 19j의 각각의 단면도로 도시한 구조에 대응된다.
본 실시예에 따른 미세 패턴의 형성 방법은 패턴 유기막 상으로의 실리콘 산화막의 성막 프로세스를 LLE 프로세스에 적용하는 점에서, 실리콘 산화막의 성막 프로세스를 SWT 프로세스에 적용하는 제 1 실시예와 상이하다.
즉, 본 실시예에 따른 미세 패턴의 형성 방법은, 도 18에 도시한 바와 같이, 박막 및 반사 방지막을 형성하는 공정과 레지스트막을 형성하는 공정과 패턴 가공 공정과 형상 가공 공정과 성막 공정을 행한 후, 또한 반사 방지막을 형성하는 공정과 레지스트를 형성하는 공정과 패턴 가공 공정과 형상 가공 공정을 행하고, 그 후에 에칭 공정을 행한다. 즉, 박막을 형성하는 공정은 단계(S21)의 공정을 포함하고, 레지스트막을 형성하는 공정은 1 회째의 단계(S22)의 공정과 2 회째의 단계(S27)의 공정을 포함하고, 패턴 가공 공정은 1 회째의 단계(S23)의 공정과 2 회째의 단계(S28)의 공정을 포함하고, 형상 가공 공정은 1 회째의 단계(S24)의 공정과 2 회째의 단계(S29)의 공정을 포함하고, 성막 공정은 단계(S25)의 공정을 포함하고, 에칭 공정은 단계(S30)의 공정을 포함한다.
단계(S21)는 반도체 기판 상에 박막 및 반사 방지막을 형성하는 공정이다. 도 19a는 단계(S21)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
단계(S21)에서는, 도 19a에 도시한 바와 같이 반도체 기판(151) 상에 박막(152)을 형성한다. 박막(152)은 나중에 미세 패턴으로 가공되는 막이며, SiN 또는 SiO2의 절연막이어도 좋고, 도전성 폴리실리콘과 같은 도전막이어도 좋다. 즉, 박막(152)의 재질은 특별히 한정되지 않고, 예를 들면 질화 실리콘, 산화 실리콘, 산질화 실리콘, 비결정 실리콘, 또는 폴리 실리콘을 포함하는 막을 이용할 수 있다. 본 예에서는, 일례로서 박막(152)을 SiN으로 한다. 이어서, 박막(152) 상에 반사 방지 재료를 도포하여 반사 방지막(BARC)(153)을 형성한다.
또한 제 1 실시예와 마찬가지로, 반도체 기판(151)은 반도체, 예를 들면 실리콘 기판만을 나타낸 것이 아니고, 반도체 기판 내 또는 반도체 기판 상에 형성된 반도체 소자 또는 집적 회로 패턴에 대응된 도전막, 이들을 절연하는 층간 절연막이 형성된 구조체를 포함한다.
또한, 본 실시예에 따른 박막은 본 발명에서의 피에칭막에 상당한다.
단계(S22)는 포토레지스트막(154)을 성막하는 공정이다. 도 19b는 단계(S22)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
도 19b에 도시한 바와 같이, 반사 방지막(153) 상에 포토레지스트를 도포하여 포토레지스트막(154)을 형성한다.
또한, 본 실시예에 따른 포토레지스트막(154)은 본 발명에서의 제 1 레지스트막에 상당한다.
이어서, 단계(S23)를 포함하는 패턴 가공 공정을 행한다. 단계(S23)는 성막 된 포토레지스트막(154)을 노광, 현상하여 포토레지스트막(154)으로 이루어지는 레지스트 패턴(154a)을 형성하는 공정이다. 또한, 도 19c는 단계(S23)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
도 19c에 도시한 바와 같이, 포토리소그래피 기술을 이용하여 포토레지스트막을 소정의 피치(p1)를 가지는 레지스트 패턴(154a)으로 가공한다. 본 실시예에서는, 레지스트 패턴(154a)의 일례로서 소정의 피치(p1)를 노광 장치의 해상 한계로 한다.
또한, 본 실시예에 따른 레지스트 패턴(154a) 및 패턴 가공 공정(단계(S23))은 각각 본 발명에서의 제 1 레지스트 패턴 및 제 1 패턴 가공 공정에 상당한다. 또한, 본 실시예에 따른 피치(p1)는 본 발명에서의 제 1 피치에 상당한다. 따라서, 단계(S23)의 패턴 가공 공정(제 1 패턴 가공 공정)은 포토리소그래피 기술을 이용하여 레지스트막을 제 1 피치로 배열되는 제 1 레지스트 패턴으로 가공하는 공정이다.
이어서, 단계(S24)를 포함하는 형상 가공 공정을 행한다. 단계(S24)는 포토레지스트막(154)으로 이루어지는 레지스트 패턴(154a)을 슬리밍 처리하여, 포토레지스트막(154)으로 이루어지는 레지스트 패턴(154b)을 형성하는 공정이다(제 1 회 슬리밍 처리). 또한, 도 19d는 단계(S24)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다. 슬리밍 처리의 방법은 특별히 한정되지 않고, 슬리밍 처리의 조건의 일례는 산소 래디컬 또는 오존 가스를 포함하는 분위기 중, 온도는 실온 ~ 100℃이다.
또한, 본 실시예에 따른 형상 가공 공정(단계(S24))은 본 발명에서의 제 1 형상 가공 공정에 상당한다.
또한, 본 실시예에서 단계(S24)는, 그 후에 연속하여 행해지는 단계(S25)의 성막 공정을 행하기 위한 성막 장치의 처리 용기 내에서 행해진다.
이어서, 단계(S25)의 공정을 포함하는 성막 공정을 행한다. 단계(S25)는, 슬리밍 처리된 레지스트 패턴(154b) 및 반사 방지막(153) 상에, 박막(152), 반사 방지막(153) 및 레지스트 패턴(154b)과는 상이한 SiO2막(155)을 형성하는 공정이다. 도 19e는 단계(S25)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
단계(S25)의 공정에서 SiO2막(155)은 나중에 행해지는 2 회째의 포토리소그래피 공정으로부터 레지스트 패턴(154b)을 보호하기 위하여 형성된다. 구체적으로는, 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 교호적으로 공급하면서, 슬리밍 처리된 레지스트 패턴(154b) 및 반사 방지막(153) 상에 SiO2막(155)을 형성한다.
또한, 본 실시예에서의 성막 공정에서의 성막 처리는 하드닝 처리라고도 한다. 또한, SiO2막은 본 발명에서의 실리콘 산화막에 상당한다. 또한, 이하에서 SiO2막 대신에 SiOx막을 비롯하여 실리콘과 산소를 주성분으로서 포함하는 다른 조성막이어도 좋다. 또한, 산질화 실리콘막(SiON막)을 이용할 수도 있다.
이어서, 단계(S26) 내지 단계(S28)의 2 회째의 포토리소그래피를 행한다.
단계(S26)는 반사 방지막을 형성하는 공정이다. 도 19f는 단계(S26)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
단계(S26)에서는, 도 19f에 도시한 바와 같이, SiO2막(155) 상에 반사 방지 재료를 도포하여 반사 방지막(BARC)(156)을 형성한다.
또한, 단계(S26)는 생략할 수 있다. 단계(S26)를 생략한 경우, 단계(S21)에서 형성한 반사 방지막(153)이 2 회째의 패턴 가공 공정인 단계(S28)에서의 노광 시에 반사 방지막으로서 기능한다.
단계(S27)는 포토레지스트막(157)을 성막하는 공정이다. 도 19g는 단계(S27)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
도 19g에 도시한 바와 같이, 반사 방지막(156) 상에 포토레지스트를 도포하여 포토레지스트막(157)을 형성한다.
또한, 본 실시예에 따른 포토레지스트막(157)은 본 발명에서의 제 2 레지스트막에 상당한다.
이어서, 단계(S28)를 포함하는 패턴 가공 공정을 행한다. 단계(S28)는 성막된 포토레지스트막(157)을 노광, 현상하여 소정의 피치(p2)를 가지는 포토레지스트막(157)으로 이루어지는 레지스트 패턴(157a)을 형성하는 공정이다. 또한, 도 19h는 단계(S28)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다. 이 때 레지스트 패턴(157a)의 피치는 소정의 피치(p2)이다. 또한, 본 실시예에서, 레지스트 패턴(157a)은 1 회째의 포토리소그래피로 슬리밍 처리된 레지스트 패턴(154a)과 동일한 라인·앤드·스페이스 패턴이다. 또한, 레지스트 패턴(157a)은 슬리밍 처리된 레지스트 패턴(154b) 간에 배치되고, 레지스트 패턴(154b)과 레지스트 패턴(157a)이 교호적으로 배치되도록 가공한다.
또한, 본 실시예에 따른 레지스트 패턴(157a) 및 패턴 가공 공정(단계(S28))은 각각 본 발명에서의 제 2 레지스트 패턴 및 제 2 패턴 가공 공정에 상당한다. 또한, 본 실시예에 따른 피치(p2)는 본 발명에서의 제 2 피치에 상당하고, 피치(p1)(제 1 피치)와 대략 동일하다. 따라서, 단계(S28)의 패턴 가공 공정(제 2 패턴 가공 공정)은 포토리소그래피 기술을 이용하여 제 2 레지스트막을 제 1 피치와 대략 동일한 제 2 피치로 배열하고, 또한, 제 1 피치의 대략 절반을 어긋나게 하여 제 1 레지스트 패턴과 교호적으로 배열하는 제 2 레지스트 패턴으로 가공하는 공정이다.
이어서, 단계(S29)를 포함하는 형상 가공 공정을 행한다. 단계(S29)는 레지스트 패턴(157a)을 형성하는 포토레지스트막(157)을 슬리밍 처리하고, 포토레지스트막(157)으로 이루어지는 레지스트 패턴(157b)을 형성하는 공정이다(제 2 회 슬리밍 처리). 또한, 도 19i는 단계(S29)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다. 여기서도 슬리밍 처리의 방법은 특별히 한정되지 않고, 슬리밍 처리의 조건의 일례는 산소 래디컬 또는 오존 가스를 포함하는 분위기 중, 온도는 실온 ~ 100℃이다.
제 2 회 슬리밍 처리에 의해 레지스트 패턴(154b 및 157b)으로 이루어지는 레지스트 패턴이 형성된다. 이 레지스트 패턴은 패턴(154b)과 패턴(157b)이 교호적으로 배치된 패턴이므로, 그 피치(p3)는 피치(p1) 및 피치(p2)보다 좁은 피치, 본 실시예에서는 피치(p1) 및 피치(p2)의 거의 1 / 2의 피치가 된다. 이와 같이, 따로 형성된 레지스트 패턴(154b과 157b)을 교호적으로 배치함으로써, 해상 한계 이하의 피치를 가지는 레지스트 패턴을 형성할 수 있다.
또한, 본 실시예에 따른 형상 가공 공정(단계(S29))은 본 발명에서의 제 2 형상 가공 공정에 상당한다.
또한, 단계(S29)는 실리콘 산화막을 성막하는 성막 장치 내에서 행해도 좋고, 혹은 실리콘 산화막을 성막하는 성막 장치와 상이한 장치 내에서 행해도 좋다.
그 후 단계(S30)의 공정을 행한다. 도 19j에 도시한 바와 같이, 레지스트 패턴(154b 및 157b)을 에칭 마스크로 이용하여 반사 방지막(156, 153), SiO2막(155) 및 박막(152)을 에칭하여, 박막(152)을 원하는 미세 패턴으로 가공한다.
먼저, 형상이 가공된 레지스트 패턴(제 1 레지스트 패턴)(154b) 및 형상이 가공된 레지스트 패턴(제 2 레지스트 패턴)(157b)을 마스크로 하여 반사 방지막(156), SiO2막(155) 및 반사 방지막(153)을 에칭한다. 반사 방지막(156)을 생략한 경우에는 SiO2막(155) 및 반사 방지막(153)을 에칭하면 된다. 이에 따라, 소정의 피치(p1)(제 1 피치)의 대략 절반의 피치로 배열하고, 포토레지스트막(제 1 레지스트막)(154) 및 포토레지스트막(제 2 레지스트막)(157)으로 이루어지는 마스크 패턴을 형성한다.
이어서, 그 마스크 패턴을 이용하여 박막(피에칭막)(152)을 에칭하여, 박막(152)으로 이루어지는 박막 패턴(피에칭막으로 이루어지는 피에칭막 패턴)을 형성한다.
단계(S30)를 행하여 가공된 박막(152)의 피치(p4)는 레지스트 패턴(154b 및 157b)의 피치(p3)와 거의 동일해지므로, 박막(152)으로 이루어지는 미세 패턴의 피치(p4)는 해상 한계 이하로 할 수 있다. 이와 같이 하여 본 실시예에서는, 해상 한계 이하의 피치를 가지는 미세 패턴을 형성할 수 있다.
또한, 반사 방지막(153)을 형성하는 공정(단계(S21)의 일부)부터 SiO2막(155) 및 반사 방지막(153)을 에칭하여 마스크 패턴을 형성하는 공정(단계(S30)의 일부)까지를, 본 발명에서의 마스크 패턴의 형성 방법이라고 정의한다. 또한, 그 마스크 패턴의 형성 방법을 포함하여 박막(피에칭막)(152)을 형성하는 공정(단계(S21)의 일부)부터 박막(피에칭막)(152)을 에칭하는 공정(단계(S30))까지를, 본 발명에서의 미세 패턴의 형성 방법이라고 정의한다.
이상, 본 실시예에서는, 하드닝 처리(성막 공정에서의 성막 처리) 시에 하드닝 처리를 행하는 성막 장치 내에서 산소 래디컬을 공급하여 레지스트 패턴을 슬리밍 처리하고, 슬리밍 처리된 레지스트 패턴 상 및 반사 방지막 상에 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 교호적으로 공급하여 실리콘 산화막을 형성함으로써, 레지스트 패턴의 표면을 청정하게 유지한 채로 실리콘 산화막을 성막할 수 있어, 해상 한계 이하의 피치를 가지는 미세 패턴 형상의 반도체 기판의 면 내에서의 균일성을 보다 양호하게 할 수 있다. 또한, 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있기 때문에, 미세 패턴을 형성하는 프로세스의 비용을 저감할 수 있다.
(제 4 실시예)
이어서, 도 3, 도 5, 도 20을 참조하여 본 발명의 제 4 실시예에 따른 미세 패턴의 형성 방법에 대하여 설명한다.
도 20은 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, Si 소스 가스에 DIPAS를 이용했을 때의 반도체 기판 상에서의 반응을 모식적으로 도시한 도이다.
본 실시예에 따른 미세 패턴의 형성 방법은 Si 소스 가스에 DIPAS를 이용하는 점에서, Si 소스 가스에 BTBAS를 이용하는 제 1 실시예와 상이하다.
본 실시예에서, 웨이퍼를 처리 용기 내에 로드하고 웨이퍼 보트를 회전시켜 형상 가공 공정(도 5의 공정(S1))을 행하는 것은 제 1 실시예와 동일하다. 또한, 형상 가공 공정 후 성막 공정을 개시하기 전에 처리 용기 내를 퍼지하는 공정(도 5의 공정(S2))을 행하는 것은 제 1 실시예와 동일하다.
이어서, 성막 공정을 행한다. 성막 공정은 제 1 실시예와 마찬가지로, Si 소스 가스인 DIPAS를 공급하는 공정(도 5의 공정(S3))과 산소 래디컬을 공급하는 공정(도 5의 공정(S4))을 행한다.
구체적으로, 본 실시예에서는, 공정(S3)에서 DIPAS를 도 3에 도시한 Si 소스 가스 배관(21) 및 Si 소스 가스 분산 노즐(22)을 거쳐 가스 토출홀(22a)로부터 처리 용기(1) 내로 T3 시간 공급한다. 이에 따라 반도체 웨이퍼에 Si 소스 가스를 흡착시킨다. 이 때의 시간(T3)은 1 ~ 600 sec가 예시된다. 또한, DIPAS의 유량은 10 ~ 10000 mL/min(sccm)가 예시된다. 또한, 이 때의 처리 용기(1) 내의 압력은 0.133 ~ 13300 Pa가 예시된다. 또한, 기판 온도는 실온(25℃) ~ 700℃, 바람직하게는 실온(25℃) ~ 500℃, 더욱 바람직하게는 실온(25℃) ~ 300℃가 예시된다.
공정(S4)의 산소 래디컬을 공급하는 공정에서는, 산소 함유 가스 공급 기구(14)의 산소 함유 가스 공급원(17)으로부터 산소 함유 가스로서, 예를 들면 O2 가스를 산소 함유 가스 배관(18) 및 산소 함유 가스 분산 노즐(19)을 거쳐 가스 토출홀(19a)로부터 토출하고, 이 때 플라즈마 생성 기구(30)의 고주파 전원(35)을 온(on)으로 하여 고주파 전계를 형성하고, 이 고주파 전계에 의해 산소 함유 가스, 예를 들면 O2 가스를 플라즈마화한다. 그리고, 이와 같이 플라즈마화된 산소 함유 가스를 처리 용기(1) 내로 공급한다. 이에 따라, 반도체 웨이퍼(W)에 흡착된 Si 소스가 산화된 SiO2 막이 형성된다. 이 처리 시간(T4)은 1 ~ 600 sec의 범위가 예시된다. 또한, 산소 함유 가스의 유량은 반도체 웨이퍼(W)의 탑재 매수에 따라서도 상이하지만, 0.1 ~ 20000 mL/min(sccm)가 예시된다. 또한, 고주파 전원(35)의 주파수는 13.56 MHz가 예시되고, 파워로서는 10 ~ 1500 W가 채용된다. 또한, 이 때의 처리 용기(1) 내의 압력은 0.133 ~ 13300 Pa가 예시된다.
도 5에 도시한 바와 같이, 공정(S3)과 공정(S4) 사이에 공정(S5)을 행하는 것은 제 1 실시예와 동일하며, 공정(S3)과 공정(S4) 간에 공정(S5)을 사이에 두고 교호적으로 간헐적으로 Si 소스 가스와 산소 래디컬로서의 산소 함유 플라즈마를 반복 공급함으로써, SiO2막의 얇은 막을 한층씩 반복 적층하여 소정의 두께로 할 수 있다.
이 때의 반응예를 도 20에 도시한다. 도 20에는 반응예가 모식적으로 도시된다.
공정(S3)의 사이, 즉 처리 용기로 Si 소스 가스가 공급되는 동안, 도 20(a)에 도시한 상태로부터 도 20(b)에 도시한 바와 같이, 공급된 DIPAS가 반도체 웨이퍼(W)의 표면과 반응하여 반도체 웨이퍼(W)의 표면에 Si가 흡착된다.
여기서, 소스 가스에 DIPAS라고 하는 1 가의 아미노실란을 이용하고 있으므로, 공정(S3)에서 흡착된 전구체 중에 질소(N)가 쉽게 포함되지 않게 되어 성막되는 실리콘 산화막 중에 질소가 쉽게 포함되지 않게 된다. 이 때문에, 양질인 실리콘막을 형성할 수 있다. 또한, 소스 가스에 DIPAS라고 하는 1 가의 아미노실란을 이용하고 있으므로, Si 흡착 시에 구조 장해가 쉽게 발생하지 않고 다른 분자의 흡착을 쉽게 방해할 수 없게 된다. 이 때문에, 흡착 속도가 느려지지 않고 생산성이 저하되지 않게 된다. 또한, 소스 가스에 DIPAS를 이용하고 있으므로, 열안정성이 뛰어나 유량 제어가 용이해진다. 또한, 종래의 소스 공급 방식의 장치를 사용할 수 있어 범용성을 가지고 있다.
이어서, 공정(S4) 사이, 즉 처리 용기로 산소 래디컬이 공급되는 동안, 도 20(c)에 도시한 상태로부터 반도체 웨이퍼(W) 상에 흡착된 Si가 산화되고, 도 20(d)에 도시한 바와 같이 반도체 웨이퍼(W) 상에 실리콘 산화막이 형성된다.
또한 도 5에 도시한 바와 같이, 공정(S3)과 공정(S4)을, 공정(S5)을 사이에 두고 반복하는 경우, 도 20(e)에 도시한 바와 같이 반도체 웨이퍼(W)의 표면에 DIPAS가 흡착되고, 도 20(f)에 도시한 바와 같이 Si를 흡착시키고 흡착된 Si를 산화함으로써 추가로 실리콘 산화막이 형성된다. 이 결과, 반도체 웨이퍼(W) 상에 원하는 두께의 실리콘 산화막이 형성된다.
본 실시예에서는, 양질인 실리콘막을 형성할 수 있는 DIPAS를 Si 소스 가스로서 이용하는 미세 패턴의 형성 방법에서도, 레지스트 도포 장치에서 행하던 형상 가공 공정(슬리밍 공정)을 처리 용기에 슬리밍 공정을 행하기 위한 기구(산소 함유 가스 플라즈마 또는 오존 가스 공급하는 산소 래디컬 공급 수단)를 구비한 성막 장치를 이용함으로써, 형상 가공 공정(슬리밍 공정)과 성막 공정을 연속하여 처리할 수 있다. 따라서, 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있기 때문에, 미세 패턴을 형성하는 프로세스 비용을 저감할 수 있다.
(제 5 실시예)
이어서, 도 21, 도 22a 내지 도 22h, 도 23 내지 도 25, 도 26a 및 도 26b, 도 27a 및 도 27b를 참조하여 본 발명의 제 5 실시예에 따른 미세 패턴의 형성 방법에 대하여 설명한다.
도 21은 본 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다. 도 22a 내지 도 22h는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 또한, 도 21의 단계(S111) 내지 단계(S117) 및 단계(S118)의 각각의 공정이 행해진 후의 미세 패턴의 구조는, 도 22a 내지 도 22g 및 도 22h의 각각의 단면도로 도시한 구조에 대응된다.
본 실시예에 따른 미세 패턴의 형성 방법은 산화막으로서 실리콘 산화막 대신에 알루미늄 산화막을 성막하는 점에서, 제 1 실시예와 상이하다.
본 실시예에 따른 미세 패턴의 형성 방법은, 도 21에 도시한 바와 같이, 반도체 기판 상에 박막을 형성하는 공정과, 박막 상에 레지스트막을 형성하는 형성 공정과, 포토리소그래피 기술을 이용하여 레지스트막을 제 1 피치로 배열하는 레지스트 패턴(제 1 레지스트 패턴(라인부))으로 가공하는 패턴 가공 공정(제 1 패턴 가공 공정)과, 제 1 레지스트 패턴의 형상을 가공하는 형상 가공 공정(제 1 형상 가공 공정)과, 소스 가스와 산소 래디컬을 공급하여 형상 가공 공정(제 1 형상 가공 공정)에 의해 형상이 가공된 레지스트 패턴(제 1 레지스트 패턴) 및 박막 상에 상온에서 알루미늄 산화막을 성막하는 성막 공정과, 에칭 공정과, 레지스트 패턴을 제거하고 유기막을 에칭하는 공정을 포함한다. 박막을 형성하는 공정은 단계(S111)의 공정을 포함하고, 레지스트막을 형성하는 공정은 단계(S112)의 공정을 포함하고, 패턴 가공 공정은 단계(S113)의 공정을 포함하고, 형상 가공 공정은 단계(S114)의 공정을 포함하고, 성막 공정은 단계(S115)의 공정을 포함하고, 에칭 공정은 단계(S116)의 공정을 포함하고, 레지스트 패턴을 제거하고 유기막을 에칭하는 공정은 단계(S117)의 공정을 포함한다. 또한, 도 21에서의 에칭 공정은 본 발명에서의 산화막 에칭 공정에 상당한다.
또한 본 실시예에서는, 제 1 실시예와 상이하고, 도 21에 도시한 바와 같이 단계(S114)와 단계(S115)의 공정은 동일 챔버(처리 용기) 내에서 연속 처리되어도 좋지만, 동일 챔버(처리 용기) 내에서 연속 처리되지 않아도 좋다. 동일 챔버(처리 용기) 내에서 연속 처리되지 않아도 좋은 것은, 후술하는 바와 같이 알루미늄 산화막을 성막할 때에 챔버 내에 플라즈마를 발생시킬 필요가 없으므로, 레지스트막이 플라즈마에 의해 애싱되어 형상이 변화되지 않기 때문이다.
단계(S111)는 반도체 기판 상에 박막을 형성하는 공정이며, 제 1 실시예에 따른 단계(S11)와 동일하다. 도 22a는 단계(S111)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
단계(S111)에서는, 도 22a에 도시한 바와 같이 반도체 기판(201) 상에 아래로부터 차례로 박막(202), 유기막(203)을 형성한다. 반도체 기판(201), 박막(202), 유기막(203)은 제 1 실시예에서의 반도체 기판(101), 박막(102), 유기막(103)과 동일하다.
또한, 본 실시예에 따른 박막 및 유기막은 각각 본 발명에서의 피에칭막, 반사 방지막에 상당한다.
박막(202)의 재질은 특별히 한정되지 않고, 예를 들면 질화 실리콘, 산화 실리콘, 산질화 실리콘, 비결정 실리콘 또는 폴리 실리콘을 포함하는 막을 이용할 수 있다.
단계(S112)는 포토레지스트막(204)을 성막하는 공정이며, 제 1 실시예에서의 단계(S12)와 동일하다. 도 22b는 단계(S112)가 행해진 후의 미세 패턴 구조를 도시한 단면도이다. 포토레지스트막(204)은 제 1 실시예에서의 포토레지스트막(104)과 동일하다.
이어서, 단계(S113)를 포함하는 패턴 가공 공정을 행한다. 단계(S113)는 성막된 포토레지스트막(204)을 노광, 현상하여 포토레지스트막(204)으로 이루어지는 레지스트 패턴(204a(라인부))을 형성하는 공정이며, 제 1 실시예에서의 단계(S13)와 동일하다. 도 22c는 단계(S113)의 공정이 행해진 후의 미세 패턴 구조를 도시한 단면도이다. 도 22c에 도시한 바와 같이, 라인부의 라인폭(LL4) 및 스페이스폭(SS4)을 가지고 포토레지스트막(204)으로 이루어지는 레지스트 패턴(204a)이 형성된다.
또한, 본 실시예에서, 라인폭(LL4)을 가지고 레지스트 패턴을 구성하는 하나 하나의 라인을 라인부라고 정의한다. 따라서, 본 실시예에 따른 패턴 가공 공정은, 포토리소그래피 기술을 이용하여 레지스트막을 소정의 피치로 배열하는 라인부를 포함하는 레지스트 패턴으로 가공하는 공정이다.
이어서, 단계(S114)를 포함하는 형상 가공 공정을 행한다. 단계(S114)는 포토레지스트막(204)으로 이루어지는 레지스트 패턴(204a)을 슬리밍 처리하여, 포토레지스트막(204)으로 이루어지는 레지스트 패턴(204b(라인부))을 형성하는 공정이며, 제 1 실시예에서의 단계(S14)와 동일하다. 도 22d는 단계(S114)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
본 실시예에서는, 제 1 실시예와 마찬가지로, 단계(S114)를 그 후에 연속되어 행해지는 단계(S115)의 성막 공정을 행하기 위한 성막 장치의 처리 용기 내에서 행해도 좋다. 또한, 단계(S115)의 성막 공정을 행하기 위한 성막 장치의 처리 용기와 상이한 처리 용기 내에서 행해도 좋다. 또한, 슬리밍 처리 방법은 제 1 실시예와 마찬가지로 행할 수 있고, 슬리밍 처리되어 생기는 레지스트 패턴(204b)의 라인폭(LL1) 및 스페이스폭(SS1)은 LL1 < LL4, SS1 > SS4가 된다.
이어서, 단계(S115)를 포함하는 성막 공정을 행한다. 단계(S115)는 슬리밍 처리된 레지스트 패턴(204b) 및 유기막(203) 상에 Al2O3막(205)을 성막하는 성막 공정이다. 또한, 도 22e는 단계(S115)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다.
또한, Al2O3막(산화 알루미늄막)은 본 발명에서의 알루미늄 산화막에 상당한다. 또한, 이하에서 Al2O3막 대신에 AlOx막을 비롯하여 알루미늄과 산소를 주성분으로서 포함하는 다른 조성막이어도 좋다. 혹은, 실온에서 성막할 수 있고, 성막 시에 레지스트 패턴에 데미지를 주지 않는 산화막이면 알루미늄 이외의 금속 산화막을 이용해도 좋다.
Al2O3막(205)의 성막 공정은 고온에 약한 포토레지스트막(204)이 레지스트 패턴(204b)으로서 남은 상태에서 행하기 때문에, 저온에서 성막하는 것이 바람직하다. 성막 방법으로서 저온에서 성막할 수 있으면 특별히 한정되지 않고, 본 실시예에서도 저온 MLD에 의해 행할 수 있다. 그 결과, 도 22e에 도시한 바와 같이, 레지스트 패턴(204b)이 형성되어 있는 곳 및 형성되어 있지 않은 곳을 포함하여 기판 전면에 Al2O3막(205)이 성막되고, 레지스트 패턴(204b)의 측면에도 레지스트 패턴(204b)의 측면을 피복하도록 Al2O3막(205)이 성막된다. 이 때의 Al2O3막(205)의 두께를 D로 하면, 레지스트 패턴(204b)의 측면을 피복하는 Al2O3막(205)의 폭도 D가 되고, D를 예를 들면 30 nm로 할 수 있다.
여기서, 저온 MLD에 의한 Al2O3막의 성막 공정에 대하여 설명한다.
저온 MLD에서는, 알루미늄을 포함하는 원료 가스를 처리 용기 내로 공급하여 알루미늄 원료를 기판 상에 흡착시키는 공정과, 오존 가스를 포함하는 가스를 처리 용기 내로 공급하여 알루미늄 원료를 산화시키는 공정을 교호적으로 반복한다.
구체적으로는, 알루미늄을 포함하는 원료 가스를 기판 상에 흡착시키는 공정에서는, 알루미늄을 포함하는 원료 가스로서, 예를 들면 트리메틸알루미늄(이하, TMA라고 함)을 알루미늄 원료 가스의 공급 노즐을 거쳐 처리 용기 내로 소정의 시간(도 25에서 후술하는 T3') 공급한다. 이에 따라 기판 상에 TMA를 흡착시킨다.
이어서, 오존 가스를 포함하는 가스를 처리 용기 내로 공급하여 알루미늄 재료를 산화시키는 공정에서는, 오존 가스를 가스 공급 노즐을 거쳐 처리 용기 내로 소정의 시간(도 25에서 후술하는 T4') 공급한다. 이에 따라 기판 상에 흡착된 TMA가 산화되어 Al2O3막(205)이 형성된다.
또한, 제 1 실시예와 마찬가지로, 상술한 알루미늄을 포함하는 원료 가스를 기판 상에 흡착시키는 공정과, 산화성이 높은 가스를 처리 용기 내로 공급하여 알루미늄 재료를 산화시키는 공정을 전환할 때에, 각각의 공정 사이에 직전의 공정에서의 잔류 가스를 제거하기 위하여, 처리 용기 내를 진공 배기하면서, 예를 들면 N2 가스 등의 불활성 가스로 이루어지는 퍼지 가스를 처리 용기 내로 공급하는 공정을 소정의 시간(도 25에서 후술하는 T5) 행할 수 있다.
본 실시예에서는, Al2O3막(205)을 성막하기 위한 Al 소스 가스로서 유기 알루미늄 화합물을 포함하는 소스 가스를 이용한다. 유기 알루미늄 화합물을 포함하는 Al 소스 가스의 예는, 예를 들면 트리알킬알루미늄이다. 트리알킬알루미늄의 구체적인 예는, 예를 들면 TMA(트리메틸알루미늄), TEAL(트리에틸알루미늄), TIBA(트리이소부틸알루미늄), DEAC(디에틸알루미늄클로라이드), EASC(에틸알루미늄세스키클로라이드), EADC(에틸알루미늄디클로라이드), TNOA(트리-n-옥틸알루미늄)이다.
한편, 산화성이 높은 가스로서는, 예를 들면 O3 가스(오존 가스), 수증기 산화 처리(WVG ; Water Vapor Generation) 가스를 이용할 수 있다. WVG 가스로서는 공급하는 가스 중에 H2O 가스(수증기)가 포함되어 있으면 되고, H2O 가스(수증기)를 포함하는 가스, H2 가스(수소 가스)와 O2 가스(산소 가스)를 미리 고온에서 혼합하여 발생한 수증기를 포함하는 가스, 혹은 H2 가스(수소 가스) 또는 O2 가스(산소 가스)를 수중 버블링(bubbling)함으로써 수증기로 포화시킨 가스 등을 이용할 수 있다.
이어서, 단계(S116)의 공정을 포함하는 에칭 공정을 행한다. 단계(S116)는 Al2O3막(205)이 레지스트 패턴(204b)의 측벽부(205a)로서만 남도록 에칭(에치백)하는 에칭 공정이며, 제 1 실시예에서의 단계(S16)와 동일하다. 도 22f는 단계(S116)의 공정이 행해진 후의 미세 패턴의 구조를 도시한 단면도이다. 레지스트 패턴(204b) 및 측벽부(205a)로 이루어지는 제 3 패턴(206)이 형성된다. 제 3 패턴(206)의 라인폭을 LL3, 스페이스폭을 SS3으로 하면, LL3 = LL1 + D × 2, SS3 = LL1 + SS1 - LL3이다.
또한, 에칭 공정을 행함으로써, 산화막은 형상이 가공된 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 에칭된다. 따라서 에칭 공정은, 산화막이 형상이 가공된 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 산화막을 에칭하는 공정이다.
단계(S117)는 레지스트 패턴(204b)을 제거하여 측벽부(205a)를 형성하는 공정과, 또한 형성된 측벽부(205a)를 마스크로 하여 유기막(203)을 에칭하는 공정이다. 즉, 단계(S117)는 알루미늄 산화막이 레지스트 패턴(제 1 레지스트 패턴(라인부))의 측면에 측벽으로서 잔존하도록 알루미늄 산화막을 에칭하는 산화막 에칭 공정이며, 제 1 실시예에서의 단계(S17)와 동일하다. 또한, 도 22g는 단계(S117)가 행해진 후의 미세 패턴의 구조를 도시한 단면도이다. 라인폭이 D, 스페이스폭이 LL1 및 SS3이 교호적으로 나타나는 패턴인 제 2 패턴(207)이 형성된다. 또한, 제 1 실시예와 마찬가지로, 스페이스폭은 LL1 및 SS3과 동일한 SS2로 하고, D와 동일한 라인폭을 재차 LL2로 할 수 있다.
또한, 제 2 패턴(207)은 측벽부(205a) 및 유기막(반사 방지막)(203)으로 이루어지고 본 발명에서의 마스크 패턴에 상당한다.
그 후, 제 2 패턴(207)을 마스크로 하여 박막(202)을 에칭하는 것은 제 1 실시예와 동일하다. 즉, 단계(S118)를 행한다. 단계(S118)에서는 제 2 패턴(마스크 패턴)(207)을 이용하여 박막(피에칭막)(202)을 가공하여, 도 22h에 도시한 바와 같이 박막(피에칭막)(202)으로 이루어지는 패턴(202a)을 형성한다. 패턴(202a)의 상부에는 유기막(반사 방지막)(203)이 남아 있어도 된다. 박막(202)의 에칭은 CF계 가스, CHF계 가스, CH계 가스, 또는 산소 가스 등을 포함하는 가스를 이용하여 행할 수 있다.
또한, 유기막(반사 방지막)(203)을 형성하는 공정(단계(S111)의 일부)부터 측벽부(205a) 및 유기막(반사 방지막)(203)으로 이루어지는 마스크 패턴을 형성하는 공정(단계(S117))까지를, 본 발명에서의 마스크 패턴의 형성 방법이라고 정의한다. 또한, 그 마스크 패턴의 형성 방법을 포함하여 박막(피에칭막)(202)을 형성하는 공정(단계(S111)의 일부)부터 박막(피에칭막)(202)으로 이루어지는 패턴(202a)을 형성하는 공정(단계(S118))까지를, 본 발명에서의 미세 패턴의 형성 방법이라고 정의한다.
이어서, 도 3, 도 4, 도 23 및 도 24를 참조하여 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치에 대하여 설명한다.
도 23은 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 종단면도이다. 도 24는 본 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 횡단면도이다. 또한 도 24에서는 가열 장치를 생략하고 있다.
본 실시예에 따른 성막 공정을 도 3 및 도 4에 도시한 성막 장치(80)를 이용하여 행하는 경우에는, 제 1 실시예에서 설명한 바와 마찬가지로, 형상 가공 공정인 슬리밍 처리와 성막 공정인 성막 처리를 동일한 성막 장치 내에서 연속 처리할 수 있다.
한편, 본 실시예에서는, 성막 공정을 슬리밍 처리를 행하는 처리 용기와 상이한 처리 용기를 가지는 성막 장치에서 행해도 좋다. 이러한 성막 장치의 예를 도 23 및 도 24에 도시한다.
도 23 및 도 24에 도시한 성막 장치(80b)는, 도 3 및 도 4에 도시한 성막 장치(80)에서 플라즈마 생성 기구(30) 및 그에 관련되는 부분을 제외한 것이다. 천장판(2), 매니폴드(3), 씰 부재(4), 웨이퍼 보트(5), 지지 기둥(6), 보온통(7), 테이블(8), 덮개부(9), 회전축(10), 자성 유체 씰(11), 씰 부재(12), 암(13), 퍼지 가스 공급 기구(16), 배기구(37), 배기구 커버 부재(38), 가스 출구(39), 가열 장치(40), 콘트롤러(50), 인터페이스(51), 기억부(52)에 대해서는 성막 장치(80)의 각 구성 부재와 동일하다.
한편, 성막 장치(80b)에는 성막 장치(80)의 산소 함유 가스 공급 기구(14) 대신에 오존 가스 공급 기구(14b)가 설치된다. 오존 가스 공급 기구(14b)는, 오존 가스 공급원(17b)과, 오존 가스 공급원(17b)으로부터 오존 가스를 유도하는 오존 가스 배관(18e)과, 이 오존 가스 배관(18e)에 접속되고 매니폴드(3)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장되는 석영관으로 이루어지는 오존 가스 분산 노즐(19b)을 가지고 있다. 오존 가스 분산 노즐(19b)의 수직 부분에는 복수의 가스 토출홀(19c)이 소정의 간격을 두고 형성되어 있고, 각 가스 토출홀(19c)로부터 수평 방향으로 처리 용기(1b)를 향하여 대략 균일하게 오존 가스를 토출할 수 있도록 되어 있다.
또한, 성막 장치(80b)에는 성막 장치(80)의 Si 소스 가스 공급 기구(15) 대신에 Al 소스 가스 공급 기구(15b)가 설치된다. Al 소스 가스 공급 기구(15b)는, Al 소스 가스 공급원(20b)과, 이 Al 소스 가스 공급원(20b)으로부터 Al 소스 가스를 유도하는 Al 소스 가스 배관(21c)과, 이 Al 소스 가스 배관(21c)에 접속되고 매니폴드(3)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 수직으로 연장되는 석영관으로 이루어지는 Al 소스 가스 분산 노즐(22b)을 가지고 있다. Al 소스 가스 분산 노즐(22b)에는 그 길이 방향을 따라 복수의 가스 토출구(22c)가 소정의 간격을 두고 형성되어 있고, 각 가스 토출홀(22c)로부터 수평 방향으로 처리 용기(1b) 내로 대략 균일하게 유기 알루미늄 화합물을 포함하는 Al 소스 가스를 토출할 수 있도록 되어 있다. 또한, 도 24에 도시한 바와 같이, Al 소스 가스 분산 노즐(22b)은 2 개 설치되어도 좋고, 1 개만 설치되어도 좋다.
또한, 오존 가스 공급 기구(14b)는 본 발명에서의 산소 래디컬 공급 수단에 상당하고, Al 소스 가스 공급 기구(15b)는 본 발명에서의 소스 가스 공급 수단에 상당한다.
오존 가스 배관(18e), Al 소스 가스 배관(21c), 퍼지 가스 배관(24)에는 각각 개폐 밸브(18f, 21d, 24a) 및 매스 플로우 콘트롤러와 같은 유량 제어기(18g, 21e, 24b)가 설치되어 있고, 오존 가스, Al 소스 가스 및 퍼지 가스를 각각 유량 제어하면서 공급할 수 있도록 되어 있다.
또한, 성막 장치(80b)에는, 성막 장치(80)에서 산소 함유 가스의 플라즈마를 형성하는 플라즈마 생성 기구(30)에 상당하는 부재는 설치되어 있지 않다. 따라서, 처리 용기(1b)는 성막 장치(80)의 처리 용기(1)와 같이 측벽의 일부가 오목부 형상으로 외측으로 돌출된 상태가 되지 않아도 좋다. 또한, 오존 가스 분산 노즐(19b)도 처리 용기(1b) 내를 상방향으로 연장하고 있는 도중에 처리 용기(1b)의 반경 방향 외방으로 굴곡되어 있지 않아도 좋다.
또한, 가열 장치(40)는 본 실시예에 따른 알루미늄 산화막을 성막할 때에는 이용되지 않기 때문에 생략할 수 있다.
이어서, 도 25를 참조하여 본 실시예에 따른 미세 패턴의 형성 방법의 형상 가공 공정 및 성막 공정에서의 처리에 대하여 설명한다.
도 25는 본 실시예에서의 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정 및 성막 공정에서의 가스 공급 타이밍을 도시한 타이밍 차트이다.
도 25에 도시한 바와 같이, 본 실시예에 따른 미세 패턴의 형성 방법의 형상 가공 공정 및 성막 공정에서의 가스 공급 타이밍은, Si 소스 가스 대신에 Al 소스 가스를 이용하고, 산소 함유 가스 대신에 오존 가스를 이용하는 점, 성막 공정에서 플라즈마를 이용하지 않는 점을 제외하고 제 1 실시예와 동일하다.
공정(S1) 및 공정(S2)에 대해서는 제 1 실시예와 동일하다. 단, 성막 공정을 도 23 및 도 24에 도시한 플라즈마 생성 기구를 가지지 않는 성막 장치를 이용하여 행하는 경우에는, 공정(S1)을 플라즈마 생성 기구를 가지는 다른 처리 용기 내에서 행하고, 공정(S2)에서 웨이퍼를 성막 장치로 반입하고 퍼지 가스를 공급한다.
그 후, 유기 알루미늄 화합물을 포함하는 Al 소스 가스를 처리 용기(1b) 내로 유입시켜 Al 소스를 흡착시키는 공정(S3')과 오존 가스를 처리 용기(1b)로 공급하여 Al 소스 가스를 산화시키는 공정(S4')을 교호적으로 반복한다.
구체적으로, 본 실시예에서는, 공정(S3')에서 Al 소스 가스 공급 기구(15b)의 Al 소스 가스 공급원(20b)으로부터 Al 소스 가스로서, 예를 들면 TMA를 Al소스 가스 배관(21c) 및 Al 소스 가스 분산 노즐(22b)을 거쳐 가스 토출홀(22c)로부터 처리 용기(1b) 내로 T3' 시간 공급한다. 이에 따라 반도체 웨이퍼에 Al 소스 가스를 흡착시킨다. 이 때의 시간(T3')은 1 ~ 600 sec가 예시된다. 또한, Al 소스 가스의 유량은 10 ~ 500 mL/min(sccm)가 예시된다. 또한, 이 때의 처리 용기(1b) 내의 압력은 13.3 ~ 665 Pa가 예시된다.
공정(S4')의 오존 가스를 공급하는 공정에서는, 오존 가스 공급 기구(14b)의 오존 가스 공급원(17b)으로부터 오존 가스를 오존 가스 배관(18e) 및 오존 가스 분산 노즐(19b)을 거쳐 가스 토출홀(19c)로부터 토출시킨다. 이에 따라 반도체 웨이퍼(W)에 흡착된 Al 소스가 산화된 Al2O3가 형성된다. 이 처리 시간(T4')은 1 ~ 600 sec의 범위가 예시된다. 또한, 오존 가스의 유량은 100 ~ 20000 mL/min(sccm)가 예시된다. 또한, 이 때의 처리 용기(1b) 내의 압력은 13.3 ~ 665 Pa가 예시된다. 또한, 오존 가스 대신에 상술한 수증기 산화 처리 가스를 이용할 수 있다.
또한, 공정(S3')과 공정(S4') 사이에 행해지는 공정(S5)은 제 1 실시예와 동일하다.
본 실시예에서의 성막 공정을 행하면, Al 소스로서 유기 알루미늄 화합물을 포함하는 소스 가스를 이용하고, 산화 처리에서 반응이 온도를 상승시키지 않고 진행되는 오존 가스 또는 수증기 산화 처리 가스를 이용하여 이들을 교호적으로 공급하므로, 양호한 막질의 Al2O3막을 100℃ 이하 또는 실온이라고 하는, 종래에는 생각할 수 없었던 저온에서 성막할 수 있다.
본 실시예에서, 형상 가공 공정과 성막 공정을 동일한 성막 장치의 처리 용기 내에서 행하는 경우에는 제 1 실시예에서 도 7a 내지 도 7c, 도 8a 내지 도 8d를 이용하여 설명한 공정을 삭감하는 작용 효과를 가진다.
(성막 처리를 행할 때의 레지스트 패턴의 형상 제어 효과)
이어서 도 26a 및 도 26b, 표 2를 참조하여, 본 실시예에서, 형상 가공 공정으로 가공한 레지스트 패턴의 형상이 성막 공정의 전후에서 변화되지 않고 레지스트 패턴의 형상을 정밀하게 제어할 수 있는 효과에 대하여 설명한다. 이하에서는, 성막 공정 후의 레지스트 패턴의 폭치수 등을 측정하여 평가를 행하였으므로, 그 평가 결과에 대하여 설명한다. 도 26a 및 도 26b는 실험예 2 및 비교예 2에서 알루미늄 산화막을 성막한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
실험예 2로서, 형상 가공 공정을 행한 후의 레지스트 패턴 상에 Al 소스 가스와 오존 가스를 교호적으로 공급하여 알루미늄 산화막을 성막하는 성막 공정을 행하였다. 또한 비교예 2로서, 형상 가공 공정을 행한 후의 레지스트 패턴 상에 Si 소스 가스와 산소 함유 가스 플라즈마를 교호적으로 공급하여 실리콘 산화막을 성막하는 성막 공정을 행하였다. 실험예 2 및 비교예 2에서의 성막 공정의 성막 조건을 이하에 나타낸다.
(실험예 2)
(1) 원료 가스 공급 조건
원료 가스 : TMA
기판 온도 : 가열 없음
성막 장치 내 압력 : 39.9 Pa
가스 유량 : 100 sccm
공급 시간 : 5 sec
(2) 산화 가스 공급 조건
산화 가스 : 오존 가스 + 산소 가스
기판 온도 : 가열 없음
성막 장치 내 압력 : 133 Pa
오존 가스 유량 : 200 g/m3
산소 가스 유량 : 10.0 slm
공급 시간 : 15 sec
(3) 반복 조건
합계 사이클 : 210 사이클
(비교예 2)
(1) 원료 가스 공급 조건
원료 가스 : DIPAS
기판 온도 : 가열 없음
성막 장치 내 압력 : 26.7 ~ 227 Pa
가스 유량 : 50 ~ 1000 sccm
공급 시간 : 1 ~ 10 sec
(2) 산화 가스 공급 조건
산화 가스 : 산소 가스
기판 온도 : 가열 없음
성막 장치 내 압력 : 66.7 ~ 227 Pa
가스 유량 : 5 ~ 30 slm
공급 시간 : 5 ~ 30 sec
고주파 전원 주파수 : 13.56 MHz
고주파 전원 파워 : 50 ~ 500 W
(3) 반복 조건
합계 사이클 : 140 ~ 150 사이클
도 26a 및 도 26b에, 실험예 2 및 비교예 2에서 산화막을 성막한 후의 레지스트 패턴을 SEM을 이용하여 촬영한 사진을 도시한다. 도 26a 및 도 26b는 각각 알루미늄 산화막을 성막한 후(실험예 2), 및 실리콘 산화막을 성막한 후(비교예 2)의 레지스트 패턴의 단면을 촬영한 사진(좌측)과 사진을 모식적으로 설명하는 도(우측)를 도시한 도이다. 도 26a 및 도 26b에 도시한 바와 같이, 산화막의 막두께를 D로 하고, 레지스트 패턴의 높이 치수를 H로 하고, 선단에서의 레지스트 패턴의 폭치수를 T로 하고, 근원으로부터 선단까지의 절반 높이의 위치에서의 레지스트 패턴의 폭치수를 M으로 하고, 근원에서의 레지스트 패턴의 폭치수를 B로 한다.
이어서, 실험예 2 및 비교예 2를 행하여 얻어진 레지스트 패턴에서의 H, T, M, B 및 선단과 근원 사이의 폭치수의 비율(T/B)에 대하여 구체적인 치수치를 표 2에 나타낸다. 또한 표 2에 나타낸 바와 같이, 실험예 2 및 비교예 2에서 각각 D는 30 nm였다.
산화막의 구성 패턴의 높이 치수 H(nm) 선단에서의 패턴의 폭치수 T(nm) 근원으로부터 선단까지의 절반 높이의 위치에서의 패턴의 폭치수 M(nm) 근원에서의 패턴의 폭치수 B(nm) 선단과 근원 사이의 폭치수의 비율 T/B
실험예 2 Al2O3막 (막두께 D=30nm) 118.1 40.4 36.8 49.4 0.82
비교예 2 SiO2막 (막두께 D=30nm) 117.6 32.8 33.0 45.4 0.72
표 2에 나타낸 바와 같이, 알루미늄 산화막을 성막한 경우에는, 실리콘 산화막을 성막한 경우보다 레지스트 패턴의 높이 치수(H), 선단, 절반 높이, 근원의 각 위치에서의 폭치수(T, M, B)의 값이 크다. 즉, 알루미늄 산화막을 성막하는 전후에서의 레지스트 패턴의 치수의 감소량은 실리콘 산화막을 성막하는 전후에서의 레지스트 패턴의 치수의 감소량보다 적다.
또한, 표 2에 나타낸 바와 같이, 알루미늄 산화막을 성막한 경우에는, 실리콘 산화막을 성막한 경우보다 레지스트 패턴의 선단과 근원 사이의 폭치수의 비율(T/B)은 증대하여 1에 가까운 값이 된다. 즉, 알루미늄 산화막을 성막한 경우에는, 실리콘 산화막을 성막한 경우에 대하여 선단과 근원 사이의 폭치수의 차이를 줄일 수 있다.
(성막 처리를 행할 때에 레지스트 패턴이 받는 손상 감소 효과)
이어서 도 27a 및 도 27b, 표 3을 참조하여, 본 실시예에서, 형상 가공 공정으로 가공한 레지스트 패턴이 성막 공정에서 받는 데미지(손상)를 감소시키는 효과에 대하여 설명한다. 이하에서는, 실험예 3, 4를 실시하여 포토레지스트막을 성막한 후, 포토레지스트막의 형상을 가공하지 않고 산화막을 성막한 후의 포토레지스트막의 막두께를 측정하고 비교예 3과 비교하여 평가를 행하였으므로, 그 평가 결과에 대하여 설명한다. 도 27a 및 도 27b는 실험예 3, 4 및 비교예 3에서의 레지스트의 애싱량을 측정하기 위한 막의 구조를 모식적으로 도시한 단면도이다.
실험예 3으로서, 도 27a에 도시한 바와 같이 반도체 기판(201) 상에 약 300 nm 두께의 포토레지스트막(204)을 형성하고, 포토레지스트막(204)의 막두께를 측정하여 HP1로 했다. 이어서, 포토레지스트막(204) 상에 Al 소스 가스와 오존 가스를 교호적으로 공급하여 Al2O3막(205)을 성막하는 성막 공정을 행하였다. 이 때, Al 소스 가스와 오존 가스를 교호적으로 공급하는 공급 사이클을 바꾸어 Al2O3막(205)의 막두께(HA)를 5, 10, 15, 20 nm로 바꾸었다. 이어서, 불산(HF) 세정을 행하여 Al2O3막(205)을 제거하고, 표면에 노출된 포토레지스트막(204)의 막두께를 측정하여 HP2로 했다. 레지스트 막두께 감소량으로부터 구한 애싱량을 HP1와 HP2의 차이(HP1-HP2)로 했다. 실험예 3의 (1) 원료 가스 공급 조건, (2) 산화 가스 공급 조건은 실험예 2와 동일하게 하고, (3) 반복 조건은 35 ~ 140 사이클로 했다.
또한 실험예 4로서, 실험예 3에서의 오존 가스 대신에 수증기 산화 처리 가스를 이용한 경우에 대하여 실시했다. 또한, 실험예 4에서는 Al2O3막(205)의 막두께(HA)가 10 nm인 일례에 대해서만 행하였다.
또한 비교예 3으로서, 도 27b에 도시한 바와 같이 반도체 기판(101) 상에 약 300 nm인 두께의 포토레지스트막(104)을 형성하고, 포토레지스트막(104)의 막두께를 측정하여 HP1로 했다. 이어서, 포토레지스트막(104) 상에 Si 소스 가스와 산소 함유 가스를 교호적으로 공급하여 SiO2막(105)을 성막하는 성막 공정을 행하였다. 이 때, SiO2막(105)의 막두께를 HS로 했다. 이어서, 불산(HF) 세정을 행하여 SiO2막(105)을 제거하고, 표면에 노출된 포토레지스트막(104)의 막두께를 측정하여 HP2로 했다. 실험예 3과 마찬가지로, 레지스트 막두께 감소량으로부터 구한 애싱량을 HP1과 HP2의 차이(HP1-HP2)로 했다. 비교예 3의 (1) 원료 가스 공급 조건, (2) 산화 가스 공급 조건은 비교예 2와 동일하게 하고, (3) 반복 조건은 20 ~ 25 사이클로 했다.
이어서, 실험예 3에서의 Al2O3막의 각 막두께의 예, 실험예 4, 및 비교예 3을 행하여 얻어진 애싱량(HP1-HP2)에 대하여 구체적인 치수치를 표 3에 나타낸다.
실험예 3 실험예 4 비교예 3
SiO2막두께 HS(nm) - - - - - 5
Al2O3막 성막 방법 오존 산화 오존 산화 오존 산화 오존 산화 수증기 산화 -
Al2O3막두께 HA(nm) 5 10 15 20 10 -
레지스트막 감소량으로부터 구한 애싱량 HP1-HP2(Å) 6.1 5.8 6.0 5.9 1.8 21.5
표 3에 나타낸 바와 같이, 알루미늄 산화막을 성막한 경우에는, 오존 가스, 수증기 산화 처리 가스 중 어느 것을 이용한 경우에도 애싱량(HP1-HP2)은 실리콘 산화막을 성막한 경우에 비해 작다. 또한, 오존 가스를 이용하는 경우에는 알루미늄 산화막의 막두께(HA)에 상관없이 애싱량(HP1-HP2)이 대략 동일하다. 즉, 알루미늄 산화막의 성막 시간, 성막 두께의 증대에 수반하여 애싱량은 증대하지 않는다. 따라서, 알루미늄 산화막의 성막 자체에 의해서는 레지스트는 애싱되지 않는다. 이는, 알루미늄 산화막을 성막할 때에 산소 플라즈마가 발생하지 않기 때문이라고 생각된다.
이상, 본 실시예에서는, 산화 실리콘을 성막하는 제 1 실시예보다 형상 가공 공정으로 가공한 레지스트 패턴의 형상이 성막 공정의 전후에서 변화되지 않기 때문에, 레지스트 패턴의 형상을 정밀하게 제어할 수 있다고 하는 효과를 나타낸다.
또한, 본 실시예에서는, 예를 들면 도 23 및 도 24를 이용하여 설명한 바와 같이, 형상 가공 공정을 성막 공정을 행하는 성막 장치와 상이한 처리 용기 내에서 행하는 경우에도 레지스트 패턴의 형상을 정밀하게 제어할 수 있다.
(제 6 실시예)
이어서, 도 28, 도 29a 내지 도 29j를 참조하여 본 발명의 제 6 실시예에 따른 미세 패턴의 형성 방법에 대하여 설명한다.
도 28은 본 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다. 도 29a 내지 도 29j는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 또한, 도 28의 단계(S121) 내지 단계(S130)의 각각의 공정이 행해진 후의 미세 패턴의 구조는, 도 29a 내지 도 29j의 각각의 단면도로 도시한 구조에 대응된다.
본 실시예에 따른 미세 패턴의 형성 방법은 실리콘 산화막 대신에 알루미늄 산화막을 성막하는 점에서, 제 3 실시예와 상이하다.
본 실시예에 따른 미세 패턴의 형성 방법도 제 3 실시예와 마찬가지로, 도 28에 도시한 바와 같이, 반도체 기판 상에 박막 및 반사 방지막을 형성하는 공정과, 박막 및 반사 방지막 상에 레지스트막을 형성하는 공정과, 포토리소그래피 기술을 이용하여 제 1 피치로 배열되는 레지스트 패턴(제 1 레지스트 패턴(라인부))으로 가공하는 패턴 가공 공정(제 1 패턴 가공 공정)과, 레지스트 패턴(제 1 레지스트 패턴)의 형상을 가공하는 형상 가공 공정(제 1 형상 가공 공정)과, 소스 가스와 산소 함유 가스를 공급하여 형상 가공 공정(제 1 형상 가공 공정)에 의해 형상이 가공된 레지스트 패턴(제 1 레지스트 패턴) 및 박막 상에 상온에서 알루미늄 산화막을 성막하는 성막 공정을 행한다. 그리고 그 후, 알루미늄 산화막 상에 반사 방지막을 형성하는 공정과, 레지스트막(제 2 레지스트막)을 형성하는 공정과, 포토리소그래피 기술을 이용하여 레지스트막(제 2 레지스트막)을 제 1 피치와 대략 동일한 제 2 피치로 배열하고, 또한, 제 1 피치의 대략 절반을 어긋나게 하여 레지스트 패턴(제 1 레지스트 패턴)과 교호적으로 배열하는 레지스트 패턴(제 2 레지스트 패턴)으로 가공하는 패턴 가공 공정(제 2 패턴 가공 공정)과, 레지스트 패턴(제 2 레지스트 패턴)의 형상을 가공하는 형상 가공 공정(제 2 형상 가공 공정)을 행한다. 그리고 그 후, 추가로 에칭 공정을 행한다. 또한, 박막을 형성하는 공정은 단계(S121)의 공정을 포함하고, 레지스트막을 형성하는 공정은 1 회째의 단계(S122)의 공정과 2 회째의 단계(S127)의 공정을 포함하고, 패턴 가공 공정은 1 회째의 단계(S123)의 공정과 2 회째의 단계(S128)의 공정을 포함하고, 형상 가공 공정은 1 회째의 단계(S124)의 공정과 2 회째의 단계(S129)의 공정을 포함하고, 성막 공정은 단계(S125)의 공정을 포함하고, 에칭 공정은 단계(S130)의 공정을 포함한다.
단계(S121)는 제 3 실시예에서의 단계(S21)와 동일한 공정이며, 도 29a에 도시한 바와 같이 반도체 기판(251) 상에 박막(252)을 형성한다. 박막(252)은 나중에 미세 패턴으로 가공되는 막이며, SiN 또는 SiO2의 절연막이어도 좋고, 도전성 폴리 실리콘과 같은 도전막이어도 좋으며, 박막(252)을 SiN으로 해도 좋은 것은 제 3 실시예와 동일하다. 즉, 박막(252)의 재질은 특별히 한정되지 않고, 예를 들면 질화 실리콘, 산화 실리콘, 산질화 실리콘, 비결정 실리콘 또는 폴리 실리콘을 포함하는 막을 이용할 수 있다. 또한, 반도체 기판(251)이 도전막, 층간 절연막이 형성된 구조체를 포함해도 좋고, 박막(252) 상에 반사 방지 재료를 도포하여 반사 방지막(BARC)(253)을 형성하는 것도 제 3 실시예와 동일하다.
또한, 본 실시예에 따른 박막은 본 발명에서의 피에칭막에 상당한다.
단계(S122)는 제 3 실시예에서의 단계(S22)와 동일한 공정이며, 도 29b에 도시한 바와 같이, 반사 방지막(253) 상에 포토레지스트를 도포하여 포토레지스트막(254)을 형성한다.
단계(S123)는 제 3 실시예에서의 단계(S23)와 동일한 공정이며, 도 29c에 도시한 바와 같이 포토리소그래피 기술을 이용하여 포토레지스트막(254)을 노광, 현상하여 소정의 피치(p1)를 가지는 레지스트 패턴(254a)을 형성한다.
또한, 본 실시예에 따른 레지스트 패턴(254a) 및 패턴 가공 공정(단계(S123))은, 각각 본 발명에서의 제 1 레지스트 패턴 및 제 1 패턴 가공 공정에 상당한다. 또한, 본 실시예에 따른 피치(p1)는 본 발명에서의 제 1 피치에 상당한다. 따라서, 단계(S123)의 패턴 가공 공정(제 1 패턴 가공 공정)은 포토리소그래피 기술을 이용하여 레지스트막을 제 1 피치로 배열되는 제 1 레지스트 패턴으로 가공하는 공정이다.
단계(S124)는 제 3 실시예에서의 단계(S24)와 동일한 공정이며, 도 29d에 도시한 바와 같이, 포토레지스트막(254)으로 이루어지는 레지스트 패턴(254a)을 슬리밍 처리하여 포토레지스트막(254)으로 이루어지는 레지스트 패턴(254b)을 형성하는 공정이다(제 1 회 슬리밍 처리). 슬리밍 처리 방법은 특별히 한정되지 않고, 산소 래디컬 또는 오존 가스를 포함하는 분위기 중에서 행할 수 있고, 온도는 실온 ~ 100℃이다.
또한, 본 실시예에 따른 형상 가공 공정(단계(S124))은 본 발명에서의 제 1 형상 가공 공정에 상당한다.
또한, 단계(S124)는, 그 후 연속하여 행해지는 단계(S125)의 성막 공정을 행하기 위한 성막 장치의 처리 용기 내에서 행해져도 좋고, 단계(S125)의 성막 공정을 행하기 위한 성막 장치와는 다른 처리 용기 내에서 행해져도 좋다.
단계(S125)는 제 3 실시예에서의 단계(S25)와 동일한 공정이며, 도 29e에 도시한 바와 같이, 슬리밍 처리된 레지스트 패턴(254b) 및 반사 방지막(253) 상에 박막(252), 반사 방지막(253) 및 레지스트 패턴(254b)과는 상이한 Al2O3막(255)을 형성하는 공정이다.
단계(S125)의 공정에서, Al2O3막(255)은 나중에 행해지는 2 회째의 포토리소그래피 공정으로부터 레지스트 패턴(254b)을 보호하기 위하여 형성된다. 구체적으로는, 제 5 실시예에서 설명한 바와 같이, 유기 알루미늄 화합물을 포함하는 소스 가스와 오존 가스(또는 수증기 산화 처리 가스)를 교호적으로 공급하면서, 슬리밍 처리된 레지스트 패턴(254b) 및 반사 방지막(253) 상에 Al2O3막(255)을 형성한다.
또한, 단계(S125)의 성막 공정에서의 성막 처리를 하드닝 처리라고도 하는 것은 제 3 실시예와 동일하다. 또한, Al2O3막은 본 발명에서의 알루미늄 산화막에 상당하는 것, 또한 Al2O3막 대신에 AlOx막을 비롯하여 알루미늄과 산소를 주성분으로서 포함하는 다른 조성막이어도 좋은 것은 제 5 실시예와 동일하다. 또한, 실온에서 성막할 수 있고, 성막 시에 레지스트 패턴에 데미지를 주지 않는 산화막이면 알루미늄 이외의 금속 산화막을 이용해도 좋은 것도 제 5 실시예와 동일하다.
단계(S126)는 제 3 실시예에서의 단계(S26)와 동일한 공정이며, 도 29f에 도시한 바와 같이, Al2O3막(255) 상에 반사 방지 재료를 도포하여 반사 방지막(BARC)(256)을 형성한다. 단, 단계(S125) 후에 단계(S126)에서의 2 회째의 반사 방지막(256)의 형성을 생략하고 단계(S127)를 행해도 좋다.
또한, 단계(S126)는 생략할 수 있다. 단계(S126)를 생략한 경우, 단계(S121)에서 형성된 반사 방지막(253)이 2 회째의 패턴 가공 공정인 단계(S128)에서의 노광 시에 반사 방지막으로서 기능한다.
단계(S127)는 제 3 실시예에서의 단계(S27)와 동일한 공정이며, 도 29g에 도시한 바와 같이, Al2O3막(255) 상에 포토레지스트를 도포하여 포토레지스트막(257)을 형성한다.
또한, 본 실시예에 따른 포토레지스트막(257)은 본 발명에서의 제 2 레지스트막에 상당한다.
단계(S128)는 제 3 실시예에서의 단계(S28)와 동일한 공정이며, 도 29h에 도시한 바와 같이, 성막된 포토레지스트막(257)을 노광, 현상하여 소정의 피치(p2)를 가지는 포토레지스트막(257)으로 이루어지는 레지스트 패턴(257a)을 형성하는 공정이다. 이 때 레지스트 패턴(257a)의 피치는 소정의 피치(p2)이다. 또한, 본 실시예에서, 레지스트 패턴(257a)은 1 회째의 포토리소그래피로 슬리밍 처리된 레지스트 패턴(254a)과 동일한 라인·앤드·스페이스 패턴이다. 또한, 레지스트 패턴(257a)은 슬리밍 처리된 레지스트 패턴(254b) 간에 배치되고, 레지스트 패턴(254b)과 레지스트 패턴(257a)이 교호적으로 배치되도록 가공된다.
또한, 본 실시예에 따른 레지스트 패턴(257a) 및 패턴 가공 공정(단계(S128)은, 각각 본 발명에서의 제 2 레지스트 패턴 및 제 2 패턴 가공 공정에 상당한다. 또한, 본 실시예에 따른 피치(p2)는 본 발명에서의 제 2 피치에 상당하고, 피치(p1)(제 1 피치)와 대략 동일하다. 따라서, 단계(S128)의 패턴 가공 공정(제 2 패턴 가공 공정)은, 포토리소그래피 기술을 이용하여 제 2 레지스트막을 제 1 피치와 대략 동일한 제 2 피치로 배열하고, 또한 제 1 피치의 대략 절반을 어긋나게 하여 제 1 레지스트 패턴과 교호적으로 배열하는 제 2 레지스트 패턴으로 가공하는 공정이다.
단계(S129)는 제 3 실시예에서의 단계(S29)와 동일한 공정이며, 도 29i에 도시한 바와 같이, 레지스트 패턴(257a)을 형성하는 포토레지스트막(257)을 슬리밍 처리하여 포토레지스트막(257)으로 이루어지는 레지스트 패턴(257b)을 형성하는 공정이다(제 2 회 슬리밍 처리). 여기서도, 슬리밍 처리 방법은 특별히 한정되지 않고, 산소 래디컬 또는 오존 가스를 포함하는 분위기 중에서 행할 수 있고, 온도는 실온 ~ 100℃이다.
단계(S129)는 단계(S125)의 성막 공정을 행하기 위한 성막 장치의 처리 용기 내에서 행해져도 좋고, 단계(S125)의 성막 공정을 행하기 위한 성막 장치와는 다른 처리 용기 내에서 행해져도 좋다.
제 2 회 슬리밍 처리에 의해 레지스트 패턴(254b 및 257b)으로 이루어지는 레지스트 패턴이 형성된다. 이 레지스트 패턴은 패턴(254b와 257b)이 교호적으로 배치된 패턴이므로, 그 피치(p3)는 피치(p1) 및 피치(p2)보다 좁은 피치, 본 실시예에서는 피치(p1) 및 피치(p2)의 거의 1 / 2의 피치가 된다. 이와 같이, 피치(p1)로 배열되는 레지스트 패턴(254b)과 피치(p1)와 대략 동일한 피치(p2)로 배열되는 레지스트 패턴(257b)을 교호적으로 배치함으로써, 해상 한계 이하의 피치를 가지는 레지스트 패턴을 형성할 수 있다.
또한, 본 실시예에 따른 형상 가공 공정(단계(129))은 본 발명에서의 제 2 형상 가공 공정에 상당한다.
또한, 단계(S129)는 알루미늄 산화막을 성막하는 성막 장치 내에서 행해도 좋고, 혹은 알루미늄 산화막을 성막하는 성막 장치와 상이한 장치 내에서 행해도 좋다.
단계(S130)의 공정은 제 3 실시예에서의 단계(S30)의 공정과 동일하며, 도 29j에 도시한 바와 같이, 레지스트 패턴(254b 및 257b)을 에칭 마스크로 이용하여 반사 방지막(256, 253), Al2O3막(255) 및 박막(252)을 에칭하여 박막(252)을 원하는 미세 패턴으로 가공한다.
먼저, 형상이 가공된 레지스트 패턴(제 1 레지스트 패턴)(254b) 및 형상이 가공된 레지스트 패턴(제 2 레지스트 패턴)(257b)을 마스크로 하여 반사 방지막(256), Al2O3막(255) 및 반사 방지막(253)을 에칭한다. 반사 방지막(256)을 생략한 경우에는 Al2O3막(255) 및 반사 방지막(253)을 에칭하면 된다. 이에 따라, 소정의 피치(p1)(제 1 피치)의 대략 절반의 피치로 배열되고, 포토레지스트막(제 1 레지스트막)(254) 및 포토레지스트막(제 2 레지스트막)(257)으로 이루어지는 마스크 패턴을 형성한다.
이어서, 그 마스크 패턴을 이용하여 박막(피에칭막)(252)을 에칭하고, 박막(252)으로 이루어지는 박막 패턴(피에칭막으로 이루어지는 피에칭막 패턴)을 형성한다.
단계(130)를 행하여 가공된 박막(252)의 피치(p4)는 레지스트 패턴(254b 및 257b)의 피치(p3)와 거의 동일해지므로, 박막(252)으로 이루어지는 미세 패턴의 피치(p4)는 해상 한계 이하로 할 수 있다. 이와 같이 하여 본 실시예에서는, 해상 한계 이하의 피치를 가지는 미세 패턴을 형성할 수 있다.
또한, 반사 방지막(253)을 형성하는 공정(단계(S121)의 일부)부터 Al2O3막(255) 및 반사 방지막(253)을 에칭하여 마스크 패턴을 형성하는 공정(단계(S130)의 일부)까지를, 본 발명에서의 마스크 패턴의 형성 방법이라고 정의한다. 또한, 그 마스크 패턴의 형성 방법을 포함하여 박막(피에칭막)(252)을 형성하는 공정(단계(S121)의 일부)부터 박막(피에칭막)(252)을 에칭하는 공정(단계(S130))까지를, 본 발명에서의 미세 패턴의 형성 방법이라고 정의한다.
본 실시예에서도 제 5 실시예와 마찬가지로, 단계(S125)에서 알루미늄 산화막을 성막할 때에 레지스트는 애싱되지 않는다. 따라서, 단계(S124)에서 형상 가공 공정(제 1 회 슬리밍 처리)을 행하여 슬리밍 처리된 레지스트 패턴(254b)의 형상은, 그 후의 공정에서 형상이 변화하지 않고 유지된다. 따라서, 레지스트 패턴(254b)과, 단계(S129)에서 형상 가공 공정(제 2 회 슬리밍 처리)을 행하여 슬리밍 처리된 레지스트 패턴(257b)의 형상을 구비할 수 있기 때문에, LLE 프로세스에 의해 형성되는 미세 패턴 형상을 보다 정밀하게 제어할 수 있다.
(제 7 실시예)
이어서, 도 30, 도 31a 내지 도 31i, 도 32 및 도 33을 참조하여 본 발명의 제 7 실시예에 따른 미세 패턴의 형성 방법에 대하여 설명한다.
도 30은 본 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다. 도 31a 내지 도 31i는 본 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다. 또한, 도 30의 단계(S211) 내지 단계(219)의 각각의 공정이 행해진 후의 미세 패턴의 구조는, 도 31a 내지 도 31h 및 도 31i의 각각의 단면도로 도시한 구조에 대응된다.
본 실시예에 따른 미세 패턴의 형성 방법은 산화막으로서 알루미늄 산화막을 성막한 후에 추가로 실리콘 산화막을 성막하는 점에서, 제 5 실시예와 상이하다.
본 실시예에 따른 미세 패턴의 형성 방법은, 도 30에 도시한 바와 같이, 반도체 기판 상에 박막을 형성하는 공정과, 박막 상에 레지스트막을 형성하는 형성 공정과, 포토리소그래피 기술을 이용하여 레지스트막을 제 1 피치로 배열되는 레지스트 패턴(제 1 레지스트 패턴(라인부))으로 가공하는 패턴 가공 공정(제 1 패턴 가공 공정)과, 제 1 레지스트 패턴의 형상을 가공하는 형상 가공 공정(제 1 형상 가공 공정)과, 소스 가스와 산소 래디컬을 공급하여 형상 가공 공정(제 1 형상 가공 공정)에 의해 형상이 가공된 레지스트 패턴(제 1 레지스트 패턴) 및 박막 상에 상온에서 알루미늄 산화막을 성막하는 성막 공정과, 성막 공정 후에 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 공급하여 알루미늄 산화막 상에 실리콘 산화막을 성막하는 실리콘 산화막 성막 공정과, 실리콘 산화막 및 알루미늄 산화막이 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 알루미늄 산화막 및 실리콘 산화막을 에칭하는 산화막 에칭 공정과, 레지스트 패턴을 제거하고 유기막을 에칭하는 공정을 포함한다. 박막을 형성하는 공정은 단계(S211)의 공정을 포함하고, 레지스트막을 형성하는 공정은 단계(S212)의 공정을 포함하고, 패턴 가공 공정은 단계(S213)의 공정을 포함하고, 형상 가공 공정은 단계(S214)의 공정을 포함하고, 성막 공정은 단계(S215)의 공정을 포함하고, 실리콘 산화막 성막 공정은 단계(S216)의 공정을 포함하고, 에칭 공정은 단계(S217)의 공정을 포함하고, 레지스트 패턴을 제거하고 유기막을 에칭하는 공정은 단계(S218)의 공정을 포함한다. 또한, 도 30에서의 에칭 공정은 본 발명에서의 산화막 에칭 공정에 상당한다.
또한, 본 실시예에서는 제 5 실시예와 마찬가지로, 도 30에 도시한 바와 같이, 단계(S214)와 단계(S215)의 공정은 동일 챔버(처리 용기) 내에서 연속 처리되지 않아도 좋다.
단계(S211)는 제 5 실시예에서의 단계(S111)와 동일한 공정이며, 도 31a에 도시한 바와 같이, 반도체 기판(301) 상에 아래로부터 차례로 박막(302), 유기막(303)을 형성한다. 반도체 기판(301), 박막(302), 유기막(303)은, 제 5 실시예에서의 반도체 기판(201), 박막(202), 유기막(203)과 동일하다.
또한, 본 실시예에 따른 박막 및 유기막은, 각각 본 발명에서의 피에칭막, 반사 방지막에 상당한다.
박막(202)의 재질은 특별히 한정되지 않고, 예를 들면 질화 실리콘, 산화 실리콘, 산질화 실리콘, 비결정 실리콘, 또는 폴리 실리콘을 포함하는 막을 이용할 수 있다.
단계(S212)는 제 5 실시예에서의 단계(S112)와 동일한 공정이며, 도 31b에 도시한 바와 같이 포토레지스트막(304)을 성막하는 공정이다.
단계(S213)는 제 5 실시예에서의 단계(S113)와 동일한 공정이며, 도 31c에 도시한 바와 같이, 성막된 포토레지스트막(304)을 노광, 현상하여 포토레지스트막(304)으로 이루어지는 레지스트 패턴(304a)을 형성하는 공정이다. 또한, 라인폭(LL4) 및 스페이스폭(SS4)을 가지고 포토레지스트막(304)으로 이루어지는 레지스트 패턴(304a)이 형성된다.
또한, 본 실시예에서, 라인폭(LL4)을 가지고 레지스트 패턴을 구성하는 하나 하나의 라인을 라인부라고 정의한다. 따라서, 본 실시예에 따른 패턴 가공 공정은, 포토리소그래피 기술을 이용하여 레지스트막을 소정의 피치로 배열하는 라인부를 포함하는 레지스트 패턴으로 가공하는 공정이다.
단계(S214)는 제 5 실시예에서의 단계(S114)와 동일한 공정이며, 도 31d에 도시한 바와 같이, 포토레지스트막(304)으로 이루어지는 레지스트 패턴(304a)을 슬리밍 처리하여 포토레지스트막(304)으로 이루어지는 레지스트 패턴(304b)을 형성하는 공정이다.
본 실시예에서도 제 5 실시예와 마찬가지로, 단계(S214)를 단계(S215)의 성막 공정을 행하기 위한 성막 장치의 처리 용기 내에서 행해도 좋고, 단계(S215)의 성막 공정을 행하기 위한 성막 장치의 처리 용기와 상이한 처리 용기 내에서 행해도 좋다. 또한, 슬리밍 처리의 방법은 제 5 실시예와 마찬가지로 행할 수 있고, 슬리밍 처리되어 생기는 레지스트 패턴(304b)의 라인폭(LL1) 및 스페이스폭(SS1)은 LL1 < LL4, SS1 > SS4가 된다.
단계(S215)는, 도 31e에 도시한 바와 같이, 슬리밍 처리된 레지스트 패턴(304b) 및 유기막(303) 상에 Al2O3막(305a)을 성막하는 성막 공정이다. 그러나, Al2O3막(305a)은 레지스트 패턴(304b) 및 유기막(203) 상을 피복하기 위한 것이며, 제 5 실시예에서의 Al2O3막(205)보다 막두께가 얇아도 좋다. Al2O3막(305a)의 두께를 D1로 한다.
본 실시예에서도 제 5 실시예와 마찬가지로, Al2O3막(산화 알루미늄막)은 본 발명에서의 알루미늄 산화막에 상당하고, Al2O3막 대신에 AlOx막을 비롯하여 알루미늄과 산소를 주성분으로서 포함하는 다른 조성막이어도 좋다. 또한, Al2O3막(305a)의 성막 공정에 대해서는 제 5 실시예와 동일하게 행할 수 있다.
단계(S216)는 제 5 실시예에는 포함되지 않은 공정이며, 도 31f에 도시한 바와 같이, 단계(S215)에 도시한 성막 공정 후에 Al2O3막(305a) 상에 SiO2막(305b)을 성막하는 실리콘 산화막 성막 공정이다. 실리콘 산화막 성막 공정은 제 1 실시예에서의 단계(S15)와 동일하게 행할 수 있다. SiO2막(305b)의 두께를 D2로 한다. 또한, Al2O3막(305a)과 SiO2막(305b)의 적층막의 합계의 두께를 D로 하면, D = D1 + D2가 된다. 또한, 레지스트 패턴(304b)의 측면을 피복하는 Al2O3막(305a)과 SiO2막(305b)의 적층막의 폭도 D가 되며, D를 예를 들면 30 nm로 할 수 있다.
또한, 에칭 공정을 행함으로써, 산화막은 형상이 가공된 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 에칭된다. 따라서, 에칭 공정은 산화막이 형상이 가공된 레지스트 패턴의 라인부의 측면에 측벽으로서 잔존하도록 산화막을 에칭하는 공정이다.
단계(S217)는 제 5 실시예에서의 단계(S116)와 동일한 공정이며, 도 31g에 도시한 바와 같이, SiO2막(305b) 및 Al2O3막(305a)으로 이루어지는 적층막이 레지스트 패턴(304b)의 측벽부(305c)로만 남도록 에칭(에치백)하는 에칭 공정이다. 레지스트 패턴(304b) 및 측벽부(305c)로 이루어지는 제 3 패턴(306)이 형성된다. 제 3 패턴(306)의 라인폭을 LL3, 스페이스폭을 SS3으로 하면, LL3 = LL1 + D × 2, SS3 = LL1 + SS1 - LL3이다.
단계(S218)는 제 5 실시예에서의 단계(S117)와 동일한 공정이며, 도 31h에 도시한 바와 같이, 레지스트 패턴(304b)을 제거하여 측벽부(305c)를 형성하는 공정과, 또한 형성된 측벽부(305c)를 마스크로 하여 유기막(303)을 에칭하는 공정이다. 라인폭이 D, 스페이스폭이 LL1 및 SS3이 교호적으로 나타나는 패턴인 제 2 패턴(307)이 형성된다. 또한 제 5 실시예와 마찬가지로, 스페이스폭은 LL1 및 SS3과 동일한 SS2로 하고, D와 동일한 라인폭을 재차 LL2로 할 수 있다.
또한 제 2 패턴(307)은 측벽부(305c) 및 유기막(반사 방지막)(303)으로 이루어지고, 본 발명에서의 마스크 패턴에 상당한다.
그 후, 제 2 패턴(307)을 마스크로 하여 박막(302)을 에칭하는 것은 제 5 실시예와 동일하다. 즉, 단계(S219)를 행한다. 단계(S219)에서는 제 2 패턴(마스크 패턴)(307)을 이용하여 박막(피에칭막)(302)을 가공하여, 도 31i에 도시한 바와 같이 박막(피에칭막)(302)으로 이루어지는 패턴(302a)을 형성한다. 패턴(302a)의 상부에는 유기막(반사 방지막)(303)이 남아 있어도 좋다. 박막(302)의 에칭은 CF계 가스, CHF계 가스, CH계 가스 또는 산소 가스 등을 포함하는 가스를 이용하여 행할 수 있다.
또한, 유기막(반사 방지막)(303)을 형성하는 공정(단계(S211)의 일부)부터 측벽부(305c) 및 유기막(반사 방지막)(303)으로 이루어지는 마스크 패턴을 형성하는 공정(단계(S218))까지를, 본 발명에서의 마스크 패턴의 형성 방법이라고 정의한다. 또한, 그 마스크 패턴의 형성 방법을 포함하여 박막(피에칭막)(302)을 형성하는 공정(단계(S211)의 일부)부터 박막(피에칭막)(302)으로 이루어지는 패턴(302a)을 형성하는 공정(단계(S219))까지를, 본 발명에서의 미세 패턴의 형성 방법이라고 정의한다.
본 실시예에서는, 단계(S215)의 성막 공정을 제 5 실시예에서 도 23 및 도 24를 이용하여 설명한 성막 장치를 이용하여 행해도 좋다. 또한, 단계(S216)의 실리콘 산화막 성막 공정을 제 1 실시예에서 설명한 도 3 및 도 4를 이용하여 설명한 성막 장치를 이용하여 행해도 좋다. 혹은, 단계(S215)의 성막 공정 및 단계(S216)의 실리콘 산화막 성막 공정을 도 3 및 도 4에 도시한 성막 장치를 이용하여 연속하여 행해도 좋고, 그 경우에는 단계(S214)의 형상 가공 공정부터 단계(S216)의 실리콘 산화막 성막 공정까지를 연속하여 동일한 성막 장치 내에서 행해도 좋다. 이에 따라, 레지스트 패턴의 표면을 청정하게 유지한 채로 실리콘 산화막을 성막할 수 있어, 해상 한계 이하의 피치를 가지는 미세 패턴 형상의 반도체 기판의 면 내에서의 균일성을 보다 양호하게 할 수 있다. 또한, 레지스트 패턴을 슬리밍 처리하는 처리 장치를 사용하는 공정을 삭감할 수 있기 때문에, 미세 패턴을 형성하는 프로세스 비용을 저감할 수 있다.
(성막 처리를 행할 때의 레지스트 패턴의 형상 제어 효과)
이어서, 도 32 및 표 4를 참조하여, 본 실시예에서 형상 가공 공정으로 가공한 레지스트 패턴의 형상이 성막 공정의 전후에서 변화되지 않고, 레지스트 패턴의 형상을 정밀하게 제어할 수 있는 효과에 대하여 설명한다. 이하에서는, 성막 공정 후의 레지스트 패턴의 폭치수 등을 측정하여 평가를 행하였으므로, 그 평가 결과에 대하여 설명한다. 도 32는 실험예 5에서 알루미늄 산화막 및 실리콘 산화막을 성막한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
실험예 5로서, 형상 가공 공정을 행한 후의 레지스트 패턴 상에 Al 소스 가스와 오존 가스를 교호적으로 공급하여 알루미늄 산화막을 성막하는 성막 공정을 행한 후, Si 소스 가스와 산소 함유 가스를 교호적으로 공급하여 실리콘 산화막을 성막하는 실리콘 산화막 성막 공정을 행하였다. 또한, 그 결과를 제 5 실시예에서 설명한 비교예 2와 비교했다. 실험예 5에서의 성막 공정의 성막 조건을 이하에 나타낸다.
(실험예 5)
(A) 알루미늄 산화막의 성막 조건
(1) 원료 가스 공급 조건
원료 가스 : TMA
기판 온도 : 가열 없음
성막 장치 내 압력 : 39.9 Pa
가스 유량 : 100 sccm
공급 시간 : 5 sec
(2) 산화 가스 공급 조건
산화 가스 : 오존 가스 + 산소 가스
기판 온도 : 가열 없음
성막 장치 내 압력 : 133 Pa
오존 가스 유량 : 200 g/m3
산소 가스 유량 : 10.0 slm
공급 시간 : 15 sec
(3) 반복 조건
합계 사이클 : 30 ~ 150 사이클
(B) 실리콘 산화막의 성막 조건
(1) 원료 가스 공급 조건
원료 가스 : DIPAS
기판 온도 : 가열 없음
성막 장치 내 압력 : 26.7 ~ 667 Pa
가스 유량 : 50 ~ 1000 sccm
공급 시간 : 1 ~ 10 sec
(2) 산화 가스 공급 조건
산화 가스 : 산소 가스
기판 온도 : 가열 없음
성막 장치 내 압력 : 66.7 ~ 227 Pa
가스 유량 : 5 ~ 30 slm
공급 시간 : 5 ~ 30 sec
고주파 전원 주파수 : 13.56 MHz
고주파 전원 파워 : 50 ~ 500 W
(3) 반복 조건
합계 사이클 : 120 ~ 130 사이클
도 32에, 실험예 5에서 산화막을 성막한 후의 레지스트 패턴을 SEM을 이용하여 촬영한 사진을 도시한다. 도 32는, 알루미늄 산화막을 성막한 후의 레지스트 패턴의 단면을 촬영한 사진(좌측)과 사진을 모식적으로 설명하는 도(우측)를 도시한 도이다. 도 32에 도시한 바와 같이, 산화막의 막두께를 D로 하고, 레지스트 패턴의 높이 치수를 H로 하고, 선단에서의 레지스트 패턴의 폭치수를 T로 하고, 근원으로부터 선단까지의 절반 높이의 위치에서의 레지스트 패턴의 폭치수를 M으로 하고, 근원에서의 레지스트 패턴의 폭치수를 B로 한다.
이어서, 실험예 5 및 비교예 2를 행하여 얻어진 레지스트 패턴에서의 H, T, M, B 및 선단과 근원 사이의 폭치수의 비율(T/B)에 대하여 구체적인 치수치를 표 4에 나타낸다. 또한 표 4에 나타낸 바와 같이, 실험예 5에서 D1은 5 nm, D2는 25 nm, D는 30 nm이며, 비교예 2에서 D는 30 nm였다.
산화막의 구성 패턴의 높이 치수 H(nm) 선단에서의 패턴의 폭치수 T(nm) 근원으로부터 절반 높이의 위치에서의 패턴의 폭치수 M(nm) 근원에서의 패턴의 폭치수 B(nm) 선단과 근원 사이의 폭치수의 비율 T/B
실험예 5 상:SiO2막(막두께D2=25nm) 하:Al2O3막(막두께D1=5nm) 118.0 34.8 32.6 47.4 0.73
비교예 2 SiO2막(막두께D=30nm) 117.6 32.8 33.0 45.4 0.72
표 4에 나타낸 바와 같이, 알루미늄 산화막 및 실리콘 산화막의 적층막을 성막한 경우에는, 실리콘 산화막을 성막한 경우보다 레지스트 패턴의 높이 치수(H), 선단, 근원의 각 위치에서의 폭치수(T, B)의 값이 크다. 즉, 알루미늄 산화막 및 실리콘 산화막의 적층막을 성막하는 전후에서의 레지스트 패턴의 치수의 감소량은, 실리콘 산화막을 성막하는 전후에서의 레지스트 패턴의 치수의 감소량보다 적다.
(성막 처리를 행할 때에 레지스트 패턴이 받는 손상 감소 효과)
이어서, 도 33 및 표 5를 참조하여, 본 실시예에서 형상 가공 공정으로 가공한 레지스트 패턴이 성막 공정으로 받는 데미지(손상)를 감소시키는 효과에 대하여 설명한다. 이하에서는, 실험예 6, 7을 실시하여 포토레지스트막을 성막한 후, 포토레지스트막의 형상을 가공하지 않고 산화막을 성막한 후의 포토레지스트막의 막두께를 측정하고 비교예 3과 비교하여 평가를 행하였으므로, 그 평가 결과에 대하여 설명한다. 도 33은 실험예 6, 7 및 비교예 3에서의 레지스트의 애싱량을 측정하기 위한 막의 구조를 모식적으로 도시한 단면도이다.
실험예 6으로서, 도 33에 도시한 바와 같이, 반도체 기판(301) 상에 약 300 nm 두께의 포토레지스트막(304)을 형성하고, 포토레지스트막(304)의 막두께를 측정하여 HP1로 했다. 이어서, 포토레지스트막(304) 상에 Al 소스 가스와 오존 가스를 교호적으로 공급하여 Al2O3막(305a)을 성막하는 성막 공정을 행하였다. 이 때, Al 소스 가스와 오존 가스를 교호적으로 공급하는 공급 사이클을 바꾸고 Al2O3막(305a)의 막두께(HA1)를 5, 10, 15, 20 nm로 바꾸었다. 이어서, Al2O3막(305a) 상에 Si 소스 가스와 산소 함유 가스를 교호적으로 공급하여 SiO2막(305b)을 성막하는 성막 공정을 행하였다. 이 때, Si 소스 가스와 산소 함유 가스를 교호적으로 공급하는 공급 사이클을 바꾸고 SiO2막(305b)의 막두께(HS1)를 5 nm로 했다. 이어서, 불산(HF) 세정을 행하여 SiO2막(305b) 및 Al2O3막(305a)을 제거하고, 표면에 노출된 포토레지스트막(304)의 막두께를 측정하여 HP2로 했다. 레지스트 막두께 감소량으로부터 구한 애싱량을 HP1과 HP2의 차이(HP1-HP2)로 했다. 실험예 6의 알루미늄 산화막의 성막 조건 중 (1) 원료 가스 공급 조건, (2) 산화 가스 공급 조건은 실험예 2와 동일하게 하고, (3) 반복 조건은 35 ~ 140 사이클로 했다. 실험예 6의 실리콘 산화막의 성막 조건 중 (1) 원료 가스 공급 조건, (2) 산화 가스 공급 조건은 비교예 2와 동일하게 하고, (3) 반복 조건은 20 ~ 25 사이클로 했다.
또한 실험예 7로서, 실험예 6의 알루미늄 산화막의 성막 공정에서 오존 가스 대신에 수증기 산화 처리 가스를 이용한 경우에 대하여 실시했다. 또한 실험예 7에서는, Al2O3막(305a)의 막두께(HA1)가 10 nm인 일례에 대해서만 행하였다.
이어서, 실험예 6에서의 Al2O3막의 각 막두께의 예, 실험예 7 및 비교예 3을 행하여 얻어진 애싱량(HP1-HP2)에 대하여 구체적인 치수치를 표 5에 나타낸다.
실험예 6 실험예 7 비교예 3
SiO2막두께 HS1 또는 HS(nm) 5 5 5 5 5 5
Al2O3막 성막 방법 오존 산화 오존 산화 오존 산화 오존 산화 수증기 산화 -
Al2O3막두께 HA1(nm) 5 10 15 20 10 -
레지스트막 감소량으로부터 구한 애싱량 HP1-HP2(Å) 11.9 11.6 11.5 11.8 6.1 21.5
표 5에 나타낸 바와 같이, 알루미늄 산화막 및 실리콘 산화막을 성막한 경우에는, 오존 가스, 수증기 산화 처리 가스 중 어느 것을 이용한 경우에도 애싱량(HP1-HP2)은 대략 절반 이하로 되어 있어 작다. 또한, 오존 가스를 이용하는 경우에는 알루미늄 산화막의 막두께(HA1)에 상관없이 애싱량(HP1-HP2)이 대략 동일하다. 즉, 알루미늄 산화막의 성막 시간, 성막 두께의 증대에 수반하여 애싱량은 증대하지 않는다. 따라서, 알루미늄 산화막의 성막 자체에 의해서는 레지스트는 애싱되지 않는다. 이는, 알루미늄 산화막을 성막할 때에 산소 플라즈마가 발생하지 않기 때문이라고 생각된다.
또한, 알루미늄 산화막 및 실리콘 산화막을 성막한 경우에는 실리콘 산화막을 단독으로 성막한 경우보다 애싱량이 작다. 따라서, 레지스트 상에 실리콘 산화막을 성막할 때에 산소 플라즈마에 의해 레지스트가 애싱된 막두께가 감소하는 것을 방지할 수 있는, 이른바 산소 플라즈마에 대한 블로킹 성능을 향상시킬 수 있다.
또한, 실리콘 산화막을 성막하는 성막 속도는 알루미늄 산화막을 성막하는 성막 속도보다 크게 하는 것이 용이하다. 그 때문에, 알루미늄 산화막 및 실리콘 산화막을 성막한 경우에는 알루미늄 산화막을 단독으로 성막한 경우보다 스루풋을 향상시킬 수 있다.
이상, 본 실시예에서는, 산화 실리콘을 단독으로 성막하는 제 1 실시예 및 산화 알루미늄을 단독으로 성막하는 제 5 실시예보다 레지스트 패턴의 형상을 정밀하게 제어할 수 있고, 또한, 스루풋을 향상시킬 수 있다고 하는 효과를 나타낸다.
또한, 본 실시예에서는, 산화막을 성막할 때에 레지스트 패턴이 보호되기 때문에 레지스트 패턴의 형상이 유지되기 쉽다. 따라서, 예를 들면 도 23 및 도 24를 이용하여 설명한 바와 같이, 형상 가공 공정을 성막 공정을 행하는 성막 장치와 상이한 처리 용기 내에서 행하는 경우에도 레지스트 패턴의 형상을 정밀하게 제어할 수 있다.
또한, 본 실시예에서 설명한, 산화막으로서 알루미늄 산화막과 실리콘 산화막의 적층막을 이용하는 방법은 제 3 실시예 및 제 6 실시예에서 설명한 LLE 프로세스에도 적용할 수 있다.
이상, 본 발명의 바람직한 실시예에 대하여 기술했지만, 본 발명은 이러한 특정 실시예에 한정되지 않고, 특허 청구 범위 내에 기재된 본 발명의 요지의 범위 내에서 다양한 변형·변경이 가능하다.
도 1은 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다.
도 2a 내지 도 2h는 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 3은 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 종단면도이다.
도 4는 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 횡단면도이다.
도 5는 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정 및 성막 공정에서의 가스 공급 타이밍을 도시한 타이밍 차트이다.
도 6은 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, Si 소스 가스에 BTBAS를 이용했을 때의 반도체 기판 상에서의 반응을 모식적으로 도시한 도이다.
도 7a 내지 도 7c는 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정 및 성막 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 8a 내지 도 8d는 본 발명의 제 1 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정을 종래의 방법으로 행하는 경우의 형상 가공 공정 및 성막 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 9a 및 도 9b는 실험예 1에서 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
도 10a 및 도 10b는 비교예 1에서 도포 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
도 11은 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴의 폭 치수를 나타낸 그래프이다.
도 12는 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴의 높이 치수를 나타낸 그래프이다.
도 13은 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴의 선단과 근원 사이의 폭 치수의 비율을 나타낸 그래프이다.
도 14는 성막 장치 내 슬리밍 처리를 행한 후의 레지스트 패턴의 LWR를 나타낸 그래프이다.
도 15a 및 도 15b는 실험예 1에서 성막 처리를 행한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
도 16a 및 도 16b는 비교예 1에서 성막 처리를 행한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
도 17은 본 발명의 제 2 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 종단면도이다.
도 18은 본 발명의 제 3 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다.
도 19a 내지 도 19j는 본 발명의 제 3 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 20은 본 발명의 제 4 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, Si 소스 가스에 DIPAS를 이용했을 때의 반도체 기판 상에서의 반응을 모식적으로 도시한 도이다.
도 21은 본 발명의 제 5 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다.
도 22a 내지 도 22h는 본 발명의 제 5 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 23은 본 발명의 제 5 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 종단면도이다.
도 24는 본 발명의 제 5 실시예에 따른 미세 패턴의 형성 방법에 사용되는 성막 장치의 구성을 모식적으로 도시한 횡단면도이다.
도 25는 본 발명의 제 5 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 형상 가공 공정 및 성막 공정에서의 가스의 공급의 타이밍을 도시한 타이밍 차트이다.
도 26a 및 도 26b는 실험예 2 및 비교예 2에서 알루미늄 산화막을 성막한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
도 27a 및 도 27b는 실험예 3, 4 및 비교예 2에서의 레지스트의 애싱량을 측정하기 위한 막의 구조를 모식적으로 도시한 단면도이다.
도 28은 본 발명의 제 6 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다.
도 29a 내지 도 29j는 본 발명의 제 6 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 30은 본 발명의 제 7 실시예에 따른 미세 패턴의 형성 방법의 각 공정의 순서를 설명하기 위한 순서도이다.
도 31a 내지 도 31i는 본 발명의 제 7 실시예에 따른 미세 패턴의 형성 방법을 설명하기 위한 도이며, 각 공정에서의 미세 패턴의 구조를 모식적으로 도시한 단면도이다.
도 32는 실험예 5에서 알루미늄 산화막 및 실리콘 산화막을 성막한 후의 레지스트 패턴을 촬영한 사진 및 사진을 설명하는 도이다.
도 33은 실험예 6, 7 및 비교예 3에서의 레지스트의 애싱량을 측정하기 위한 막의 구조를 모식적으로 도시한 단면도이다.
부호의 설명
1 : 처리 용기
3 : 매니폴드
14 : 산소 함유 가스 공급 기구
15 : Si 소스 가스 공급 기구
16 : 퍼지 가스 공급 기구
19 : 산소 함유 가스 분산 노즐
22 : Si 소스 가스 분산 노즐
30 : 플라즈마 생성 기구
40 : 가열 장치
50 : 콘트롤러
80 : 성막 장치
101 : 반도체 기판
102 : 박막
103 : 유기막(반사 방지막)
104 : 포토레지스트막
104a, 104b : 레지스트 패턴
105 : SiO2
205 : Al2O3

Claims (30)

  1. 박막 상에 레지스트막을 형성하는 공정과,
    포토리소그래피 기술을 이용하여 상기 레지스트막을 소정의 피치를 갖는 레지스트 패턴으로 가공하는 패턴 가공 공정과,
    상기 레지스트 패턴의 형상을 가공하는 형상 가공 공정과,
    소스 가스와 산소 래디컬 또는 산소 함유 가스를 공급하여 상기 형상 가공 공정에 의해 형상이 가공된 상기 레지스트 패턴 및 상기 박막 상에 산화막을 성막하는 성막 공정
    을 구비하는 마스크 패턴의 형성 방법으로서,
    상기 형상 가공 공정과 상기 성막 공정을, 상기 산화막을 성막하는 성막 장치 내에서 연속하여 행하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  2. 피에칭막 상에 반사 방지막을 형성하는 공정과,
    상기 반사 방지막 상에 레지스트막을 형성하는 공정과,
    포토리소그래피 기술을 이용하여 상기 레지스트막을 소정의 피치로 배열되는 라인부를 포함하는 레지스트 패턴으로 가공하는 패턴 가공 공정과,
    산화막을 성막하는 성막 장치 내에서 상기 레지스트 패턴의 형상을 가공하는 형상 가공 공정과,
    상기 형상 가공 공정과 연속하여, 상기 성막 장치 내에 소스 가스와 산소 래 디컬 또는 산소 함유 가스를 공급하여 상기 형상 가공 공정에 의하여 형상이 가공된 상기 레지스트 패턴 및 상기 피에칭막 상에 산화막을 성막하는 성막 공정과,
    상기 산화막이, 형상이 가공된 상기 레지스트 패턴의 상기 라인부의 측면에 측벽으로서 잔존하도록 상기 산화막을 에칭하는 에칭 공정과,
    형상이 가공된 상기 레지스트 패턴을 제거하여, 측벽으로서 잔존하고 상기 산화막으로 이루어지는 측벽부를 형성하는 공정과,
    상기 측벽부를 마스크로 하여 상기 반사 방지막을 에칭하여, 상기 측벽부 및 상기 반사 방지막으로 이루어지는 마스크 패턴을 형성하는 공정
    을 구비하는 마스크 패턴의 형성 방법.
  3. 피에칭막 상에 반사 방지막을 형성하는 공정과,
    상기 반사 방지막 상에 레지스트막을 형성하는 공정과,
    포토리소그래피 기술을 이용하여 상기 레지스트막을 제 1 피치로 배열되는 제 1 레지스트 패턴으로 가공하는 제 1 패턴 가공 공정과,
    산화막을 성막하는 성막 장치 내에서 상기 제 1 레지스트 패턴의 형상을 가공하는 제 1 형상 가공 공정과,
    상기 제 1 형상 가공 공정과 연속하여, 상기 성막 장치 내로 소스 가스와 산소 래디컬 또는 산소 함유 가스를 공급하여, 상기 제 1 형상 가공 공정에 의하여 형상이 가공된 상기 제 1 레지스트 패턴 및 상기 피에칭막 상에 산화막을 성막하는 성막 공정과,
    상기 산화막 상에 제 2 레지스트막을 형성하는 공정과,
    포토리소그래피 기술을 이용하여 상기 제 2 레지스트막을, 상기 제 1 피치와 실질적으로 동일한 제 2 피치로 배열되고, 상기 제 1 피치의 실질적으로 절반을 어긋나게 하여 상기 제 1 레지스트 패턴과 교호적으로 배열되는 제 2 레지스트 패턴으로 가공하는 제 2 패턴 가공 공정과,
    상기 제 2 레지스트 패턴의 형상을 가공하는 제 2 형상 가공 공정과,
    형상이 가공된 상기 제 1 레지스트 패턴 및 형상이 가공된 상기 제 2 레지스트 패턴을 마스크로 하여 상기 산화막 및 상기 반사 방지막을 에칭하여, 상기 제 1 피치의 실질적으로 절반의 피치로 배열되고, 상기 제 1 레지스트막 및 상기 제 2 레지스트막으로 이루어지는 마스크 패턴을 형성하는 공정
    을 구비하는 마스크 패턴의 형성 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 형상 가공 공정은, 산소 함유 가스 플라즈마 또는 오존 가스를 이용하여 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  5. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 산소 래디컬로서 산소 함유 가스 플라즈마를 이용하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  6. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 산소 함유 가스 플라즈마는 O2 가스, NO 가스, N2O 가스, H2O 가스, O3 가스 중 어느 하나로부터 선택되는 일종을 플라즈마화한 것인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  7. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 성막 공정은, 상기 소스 가스를 진공 유지 가능한 처리 용기 내로 공급하는 소스 가스 공급 공정과, 상기 산소 래디컬 또는 상기 산소 함유 가스를 상기 처리 용기 내로 공급하는 산소 래디컬 공급 공정을 교호적으로 실시함으로써, 상기 산화막을 상기 처리 용기 내에서 성막하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  8. 제 7 항에 있어서,
    상기 소스 가스 공급 공정과 상기 산소 래디컬 공급 공정의 사이에, 상기 처리 용기 내에 잔류하고 있는 가스를 제거하는 잔류 가스 제거 공정을 삽입하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  9. 제 8 항에 있어서,
    상기 잔류 가스 제거 공정은, 상기 처리 용기 내를 진공 배기하면서 상기 처리 용기 내로 퍼지 가스를 도입하는 것을 특징으로 하는 미세 패턴의 형성 방법.
  10. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 산화막을 성막할 때의 성막 온도가 상기 레지스트막의 내열(耐熱) 온도 이하인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  11. 제 10 항에 있어서,
    상기 성막 온도는 100℃ 이하인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  12. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 소스 가스는 유기 실리콘을 포함하고,
    상기 산화막은 실리콘 산화막인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  13. 제 12 항에 있어서,
    상기 성막 공정은, 아미노실란계 전구체를 소스 가스로 하여 실리콘 산화막을 성막하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  14. 제 13 항에 있어서,
    상기 아미노실란계 전구체는 1 가 또는 2 가의 아미노실란계 전구체인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  15. 제 14 항에 있어서,
    상기 1 가 또는 2 가의 아미노실란계 전구체는 BTBAS, BDMAS, BDEAS, DAMS, EDAS, DPAS, BAS, DIPAS로부터 선택된 적어도 1 종인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  16. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 소스 가스는 유기 알루미늄 화합물을 포함하고,
    상기 산화막은 알루미늄 산화막인 것을 특징으로 하는 마스크 패턴의 형성 방법.
  17. 제 16 항에 있어서,
    상기 유기 알루미늄 화합물은 트리메틸알루미늄을 포함하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  18. 제 16 항에 있어서,
    상기 성막 공정 후에 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 공 급하여, 상기 알루미늄 산화막 상에 실리콘 산화막을 성막하는 실리콘 산화막 성막 공정을 구비하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  19. 제 16 항에 있어서,
    상기 실리콘 산화막 성막 공정을 상기 알루미늄 산화막을 성막하는 성막 장치 내에서 연속하여 행하는 것을 특징으로 하는 마스크 패턴의 형성 방법.
  20. 기판 상에 박막 또는 피에칭막을 형성하는 공정과,
    청구항 1 내지 청구항 3 중 어느 한 항에 기재된 마스크 패턴의 형성 방법을 행하여 마스크 패턴을 형성하는 공정과,
    상기 마스크 패턴을 이용하여 상기 박막 또는 상기 피에칭막을 에칭하여, 상기 박막으로 이루어지는 박막 패턴 또는 상기 피에칭막으로 이루어지는 피에칭막 패턴을 형성하는 공정
    을 구비하는 미세 패턴의 형성 방법.
  21. 진공 유지 가능하게 설치되고 반도체 기판을 처리하기 위한 처리 용기와,
    상기 처리 용기 내로 소스 가스를 공급하는 소스 가스 공급 수단과,
    상기 처리 용기 내로 산소 래디컬 또는 산소 함유 가스를 공급하는 산소 래디컬 공급 수단을 구비하고,
    상기 소스 가스 공급 수단과 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 소스 가스와 상기 산소 래디컬 또는 상기 산소 함유 가스를 교호적으로 공급함으로써, 레지스트 패턴이 형성된 반도체 기판 상에 산화막을 성막하는 성막 장치로서,
    상기 레지스트 패턴이 형성된 반도체 기판 상에 상기 산화막을 성막하기 전에, 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 산소 래디컬을 공급함으로써, 상기 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 성막 장치.
  22. 반도체 기판 상에 피에칭막을 개재하여 형성된 반사 방지막과, 상기 반사 방지막 상에 형성된 레지스트 패턴의 측벽을 피복하고 산화막으로 이루어지는 측벽부를 포함하는 마스크 패턴을 형성하기 위하여, 소스 가스와 산소 래디컬 또는 산소 함유 가스를 교호적으로 공급함으로써, 상기 레지스트 패턴이 형성된 상기 반사 방지막 상에 상기 레지스트 패턴을 등방적(等方的)으로 피복하도록 상기 산화막을 성막하는 성막 장치로서,
    진공 유지 가능하게 설치되고 반도체 기판을 처리하기 위한 처리 용기와,
    상기 처리 용기 내로 상기 소스 가스를 공급하는 소스 가스 공급 수단과,
    상기 처리 용기 내로 상기 산소 래디컬 또는 상기 산소 함유 가스를 공급하는 산소 래디컬 공급 수단
    을 구비하고,
    상기 레지스트 패턴이 형성된 반도체 기판 상에 상기 산화막을 성막하기 전에, 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 산소 래디컬을 공급 함으로써, 상기 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 성막 장치.
  23. 반도체 기판 상에 아래로부터 차례로 피에칭막 및 반사 방지막을 개재하여 형성되고, 제 1 피치로 배열되는 제 1 레지스트 패턴과, 상기 제 1 피치와 실질적으로 동일한 제 2 피치로 배열되고, 상기 제 1 피치의 실질적으로 절반을 어긋나게 하여 상기 제 1 레지스트 패턴과 교호적으로 배열되는 제 2 레지스트 패턴을 포함하는 마스크 패턴을 형성하기 위하여, 소스 가스와 산소 래디컬 또는 산소 함유 가스를 교호적으로 공급함으로써, 상기 레지스트 패턴이 형성된 상기 반사 방지막 상에 상기 레지스트 패턴을 등방적으로 피복하도록 상기 산화막을 성막하는 성막 장치로서,
    진공 유지 가능하게 설치되고 반도체 기판을 처리하기 위한 처리 용기와,
    상기 처리 용기 내로 상기 소스 가스를 공급하는 소스 가스 공급 수단과,
    상기 처리 용기 내로 상기 산소 래디컬 또는 상기 산소 함유 가스를 공급하는 산소 래디컬 공급 수단
    을 구비하고,
    상기 레지스트 패턴이 형성된 반도체 기판 상에 상기 산화막을 성막하기 전에, 상기 산소 래디컬 공급 수단이 상기 처리 용기 내로 상기 산소 래디컬을 공급함으로써, 상기 레지스트 패턴의 형상을 가공하는 것을 특징으로 하는 성막 장치.
  24. 제 21 항 내지 제 23 항 중 어느 한 항에 있어서,
    상기 소스 가스는 유기 실리콘을 포함하고,
    상기 산화막은 실리콘 산화막인 것을 특징으로 하는 성막 장치.
  25. 제 21 항 내지 제 23 항 중 어느 한 항에 있어서,
    상기 소스 가스는 유기 알루미늄 화합물을 포함하고,
    상기 산화막은 알루미늄 산화막인 것을 특징으로 하는 성막 장치.
  26. 피에칭막 상에 반사 방지막을 형성하는 공정과,
    상기 반사 방지막 상에 레지스트막을 형성하는 공정과,
    포토리소그래피 기술을 이용하여 상기 레지스트막을 제 1 피치로 배열되는 라인부를 포함하는 제 1 레지스트 패턴으로 가공하는 제 1 패턴 가공 공정과,
    상기 제 1 레지스트 패턴의 형상을 가공하는 제 1 형상 가공 공정과,
    소스 가스와 산소 함유 가스를 공급하여, 상기 제 1 형상 가공 공정에 의해 형상이 가공된 상기 제 1 레지스트 패턴 및 상기 피에칭막 상에 상온에서 알루미늄 산화막을 성막하는 성막 공정을 구비하는 마스크 패턴의 형성 방법.
  27. 제 26 항에 있어서,
    상기 알루미늄 산화막이, 형상이 가공된 상기 제 1 레지스트 패턴의 상기 라인부의 측면에 측벽으로서 잔존하도록 상기 알루미늄 산화막을 에칭하는 에칭 공정과,
    형상이 가공된 상기 제 1 레지스트 패턴을 제거하여, 측벽으로서 잔존하고 상기 알루미늄 산화막으로 이루어지는 측벽부를 형성하는 공정과,
    상기 측벽부를 마스크로 하여 상기 반사 방지막을 에칭하여, 상기 측벽부 및 상기 반사 방지막으로 이루어지는 마스크 패턴을 형성하는 공정
    을 구비하는 마스크 패턴의 형성 방법.
  28. 제 26 항에 있어서,
    상기 성막 공정 후에 유기 실리콘을 포함하는 소스 가스와 산소 래디컬을 공급하여, 상기 알루미늄 산화막 상에 실리콘 산화막을 성막하는 실리콘 산화막 성막 공정과,
    상기 실리콘 산화막 및 상기 알루미늄 산화막이, 형상이 가공된 상기 제 1 레지스트 패턴의 상기 라인부의 측면에 측벽으로서 잔존하도록 상기 실리콘 산화막 및 상기 알루미늄 산화막을 에칭하는 에칭 공정과,
    형상이 가공된 상기 제 1 레지스트 패턴을 제거하여, 측벽으로서 잔존하고 상기 실리콘 산화막 및 상기 알루미늄 산화막으로 이루어지는 측벽부를 형성하는 공정과,
    상기 측벽부를 마스크로 하여 상기 반사 방지막을 에칭하고, 상기 측벽부 및 상기 반사 방지막으로 이루어지는 마스크 패턴을 형성하는 공정
    을 구비하는 마스크 패턴의 형성 방법.
  29. 제 26 항에 있어서,
    상기 알루미늄 산화막 상에 제 2 레지스트막을 형성하는 공정과,
    포토리소그래피 기술을 이용하여 상기 제 2 레지스트막을 상기 제 1 피치와 실질적으로 동일한 제 2 피치로 배열되고, 상기 제 1 피치의 실질적으로 절반을 어긋나게 하여 상기 제 1 레지스트 패턴과 교호적으로 배열되는 제 2 레지스트 패턴으로 가공하는 제 2 패턴 가공 공정과,
    상기 제 2 레지스트 패턴의 형상을 가공하는 제 2 형상 가공 공정과,
    형상이 가공된 상기 제 1 레지스트 패턴 및 형상이 가공된 상기 제 2 레지스트 패턴을 마스크로 하여 상기 알루미늄 산화막 및 상기 반사 방지막을 에칭하고, 상기 제 1 피치의 실질적으로 절반의 피치로 배열되고, 상기 제 1 레지스트막 및 상기 제 2 레지스트막으로 이루어지는 마스크 패턴을 형성하는 공정
    을 구비하는 마스크 패턴의 형성 방법.
  30. 기판 상에 피에칭막을 형성하는 공정과,
    청구항 27 내지 청구항 29 중 어느 한 항에 기재된 마스크 패턴의 형성 방법을 행하여 마스크 패턴을 형성하는 공정과,
    상기 마스크 패턴을 이용하여 상기 피에칭막을 에칭하여, 상기 피에칭막으로 이루어지는 피에칭막 패턴을 형성하는 공정
    을 구비하는 미세 패턴의 형성 방법.
KR1020090092465A 2008-09-29 2009-09-29 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치 KR101079625B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2008251679 2008-09-29
JPJP-P-2008-251679 2008-09-29
JP2009206443A JP4638550B2 (ja) 2008-09-29 2009-09-07 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JPJP-P-2009-206443 2009-09-07

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020110051227A Division KR101108613B1 (ko) 2008-09-29 2011-05-30 미세 패턴의 형성 방법 및 성막 장치

Publications (2)

Publication Number Publication Date
KR20100036214A true KR20100036214A (ko) 2010-04-07
KR101079625B1 KR101079625B1 (ko) 2011-11-03

Family

ID=42057846

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020090092465A KR101079625B1 (ko) 2008-09-29 2009-09-29 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치
KR1020110051227A KR101108613B1 (ko) 2008-09-29 2011-05-30 미세 패턴의 형성 방법 및 성막 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020110051227A KR101108613B1 (ko) 2008-09-29 2011-05-30 미세 패턴의 형성 방법 및 성막 장치

Country Status (4)

Country Link
US (9) US8426117B2 (ko)
JP (1) JP4638550B2 (ko)
KR (2) KR101079625B1 (ko)
TW (2) TWI422994B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011153484A2 (en) * 2010-06-04 2011-12-08 Applied Materials, Inc. Silicon dioxide layer deposited with bdeas
WO2021167334A1 (ko) * 2020-02-18 2021-08-26 (주)위지트 Oled 메탈마스크 코팅 방법
KR20220049616A (ko) * 2019-11-05 2022-04-21 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 에칭 방법, 에어갭형 유전층 및 동적 랜덤 액세스 메모리

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5236716B2 (ja) * 2008-09-29 2013-07-17 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP4985987B2 (ja) * 2008-10-15 2012-07-25 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8629067B2 (en) * 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP2013521650A (ja) * 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
EP2378543B1 (en) * 2010-04-14 2015-05-20 ASM Genitech Korea Ltd. Method of forming semiconductor patterns
JP5632240B2 (ja) 2010-08-31 2014-11-26 東京エレクトロン株式会社 微細パターンの形成方法
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US9136114B2 (en) * 2010-11-04 2015-09-15 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method, computer-readable medium with program for executing a substrate processing method, and substrate processing apparatus
US8764999B2 (en) * 2010-11-23 2014-07-01 Tokyo Electron Limited Sidewall image transfer pitch doubling and inline critical dimension slimming
JP5682290B2 (ja) * 2010-12-20 2015-03-11 東京エレクトロン株式会社 炭素含有薄膜のスリミング方法及び酸化装置
SG191313A1 (en) * 2010-12-24 2013-08-30 Asahi Kasei E Materials Corp Insulation structure and method for manufacturing same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP2012169408A (ja) * 2011-02-14 2012-09-06 Taiyo Nippon Sanso Corp マスク用材料、マスクの形成方法、パターン形成方法、及びエッチング保護膜
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
BR112013029691B1 (pt) 2011-05-24 2020-10-06 Koninklijke Philips N.V Método de determinação de informações da posição de superfícies 3d a partir de uma imagem 2d, e scanner de objetos 3d para determinação de informações de posições 3d
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP6127535B2 (ja) * 2012-02-03 2017-05-17 大日本印刷株式会社 ナノインプリント用テンプレートの製造方法
JP6089451B2 (ja) * 2012-05-30 2017-03-08 大日本印刷株式会社 ナノインプリントモールドおよびその製造方法
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6171453B2 (ja) * 2013-03-25 2017-08-02 大日本印刷株式会社 ナノインプリントモールドの製造方法
JP6167673B2 (ja) * 2013-05-31 2017-07-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2015005534A (ja) * 2013-06-18 2015-01-08 学校法人立命館 縦型発光ダイオードおよび結晶成長方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP2015154034A (ja) * 2014-02-19 2015-08-24 株式会社東芝 成膜装置および成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104390012B (zh) * 2014-11-04 2016-06-15 南京工业大学 磁流体润滑的机械密封装置及自适应密封控制方法
KR102514466B1 (ko) * 2014-12-15 2023-03-24 어플라이드 머티어리얼스, 인코포레이티드 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106601610A (zh) * 2015-10-14 2017-04-26 中国科学院微电子研究所 一种形成小间距鳍体的方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
JP2018067582A (ja) * 2016-10-18 2018-04-26 東芝メモリ株式会社 半導体製造装置及び半導体装置の製造方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10541146B2 (en) * 2017-04-26 2020-01-21 Tokyo Electron Limited Method of cyclic plasma etching of organic film using sulfur-based chemistry
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10354923B2 (en) 2017-05-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for atomic layer deposition of a dielectric over a substrate
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
JP6814116B2 (ja) 2017-09-13 2021-01-13 キオクシア株式会社 半導体装置の製造方法および半導体製造装置
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI788434B (zh) * 2017-10-27 2023-01-01 日商東京威力科創股份有限公司 光罩圖案形成方法、記憶媒體及基板處理裝置
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10559479B2 (en) * 2018-02-27 2020-02-11 Toshiba Memory Corporation Semiconductor manufacturing apparatus and manufacturing method of semiconductor device
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019190783A1 (en) * 2018-03-26 2019-10-03 Lam Research Corporation Atomic layer deposition of carbon films
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114173510B (zh) * 2021-12-08 2022-12-13 上海交通大学 一种具有空气隔热层的微型多层隔热结构及其制备和应用
WO2023122557A1 (en) * 2021-12-20 2023-06-29 Lam Research Corporation Conformal silicon oxide deposition using aminosilane and chlorosilane precursors

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
US4437961A (en) * 1982-08-19 1984-03-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Method for sequentially processing a multi-level interconnect circuit in a vacuum chamber
US4534389A (en) * 1984-03-29 1985-08-13 Hewlett-Packard Company Interlocking door latch for dockable interface for integrated circuit processing
JPS6393881A (ja) * 1986-10-08 1988-04-25 Anelva Corp プラズマ処理装置
KR100206597B1 (ko) * 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR19980028359A (ko) * 1996-10-22 1998-07-15 김영환 반도체소자의 미세 패턴 제조방법
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6620631B1 (en) * 2000-05-18 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6653231B2 (en) 2001-03-28 2003-11-25 Advanced Micro Devices, Inc. Process for reducing the critical dimensions of integrated circuit device features
WO2002091461A2 (en) * 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
JP4727171B2 (ja) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 エッチング方法
US7157377B2 (en) * 2004-02-13 2007-01-02 Freescale Semiconductor, Inc. Method of making a semiconductor device using treated photoresist
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7652632B2 (en) * 2004-08-18 2010-01-26 Ruckus Wireless, Inc. Multiband omnidirectional planar antenna apparatus with selectable elements
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP4820864B2 (ja) 2006-03-30 2011-11-24 三井造船株式会社 プラズマ原子層成長方法及び装置
KR20080001918A (ko) * 2006-06-30 2008-01-04 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
WO2008041630A1 (fr) 2006-09-29 2008-04-10 Asahi Kasei Emd Corporation Composition de polyorganosiloxane
KR100752674B1 (ko) 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
JP4932671B2 (ja) 2007-10-26 2012-05-16 東京エレクトロン株式会社 エッチングマスクの形成方法、制御プログラム及びプログラム記憶媒体
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US7972926B2 (en) * 2009-07-02 2011-07-05 Micron Technology, Inc. Methods of forming memory cells; and methods of forming vertical structures

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011153484A2 (en) * 2010-06-04 2011-12-08 Applied Materials, Inc. Silicon dioxide layer deposited with bdeas
WO2011153484A3 (en) * 2010-06-04 2012-03-01 Applied Materials, Inc. Silicon dioxide layer deposited with bdeas
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR20220049616A (ko) * 2019-11-05 2022-04-21 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 에칭 방법, 에어갭형 유전층 및 동적 랜덤 액세스 메모리
WO2021167334A1 (ko) * 2020-02-18 2021-08-26 (주)위지트 Oled 메탈마스크 코팅 방법

Also Published As

Publication number Publication date
US8426117B2 (en) 2013-04-23
JP2010103497A (ja) 2010-05-06
US11404272B2 (en) 2022-08-02
US11881379B2 (en) 2024-01-23
TWI422994B (zh) 2014-01-11
US20170162381A1 (en) 2017-06-08
US10141187B2 (en) 2018-11-27
US20190115204A1 (en) 2019-04-18
US20180019113A1 (en) 2018-01-18
US10176992B2 (en) 2019-01-08
US20190096658A1 (en) 2019-03-28
KR101079625B1 (ko) 2011-11-03
US11404271B2 (en) 2022-08-02
US20220328301A1 (en) 2022-10-13
US20190041756A1 (en) 2019-02-07
TW201220004A (en) 2012-05-16
JP4638550B2 (ja) 2011-02-23
KR20110082495A (ko) 2011-07-19
US10879066B2 (en) 2020-12-29
US20240096595A1 (en) 2024-03-21
KR101108613B1 (ko) 2012-02-08
US20100081094A1 (en) 2010-04-01
TW201039072A (en) 2010-11-01
US20130213301A1 (en) 2013-08-22
TWI422995B (zh) 2014-01-11
US10191378B2 (en) 2019-01-29

Similar Documents

Publication Publication Date Title
KR101079625B1 (ko) 마스크 패턴의 형성 방법, 미세 패턴의 형성 방법 및 성막 장치
US20220244645A1 (en) Photoresist development with halide chemistries
US8343881B2 (en) Silicon dioxide layer deposited with BDEAS
TWI479565B (zh) The formation of fine graphics
JP2009016815A (ja) 微細パターンの形成方法
US20110065280A1 (en) Mask pattern forming method and semiconductor device manufacturing method
KR20120021253A (ko) 미세 패턴의 형성 방법
KR100845453B1 (ko) 반도체 장치의 제조 방법
US10361112B2 (en) High aspect ratio gap fill
JP2012174976A (ja) パターンの形成方法
JP5236716B2 (ja) マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20240047223A1 (en) Substrate processing method and substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 9