CN101325160B - 半导体处理用的成膜方法和装置 - Google Patents

半导体处理用的成膜方法和装置 Download PDF

Info

Publication number
CN101325160B
CN101325160B CN2008101428745A CN200810142874A CN101325160B CN 101325160 B CN101325160 B CN 101325160B CN 2008101428745 A CN2008101428745 A CN 2008101428745A CN 200810142874 A CN200810142874 A CN 200810142874A CN 101325160 B CN101325160 B CN 101325160B
Authority
CN
China
Prior art keywords
gas
container handling
supply
processing region
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2008101428745A
Other languages
English (en)
Other versions
CN101325160A (zh
Inventor
野寺伸武
松永正信
长谷部一秀
梅泽好太
周保华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101325160A publication Critical patent/CN101325160A/zh
Application granted granted Critical
Publication of CN101325160B publication Critical patent/CN101325160B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种半导体处理用成膜方法和装置。在能够选择性地供给包括硅烷气体的第一处理气体和包括氮化气体的第二处理气体的处理区域内进行多个循环,在被处理基板上形成氮化硅膜。各循环包括:进行第一处理气体的供给,另一方面,维持遮断第二处理气体的供给的第一供给工序;和进行第二处理气体的供给,另一方面,维持遮断第一处理气体的供给第二供给工序。该方法多个重复执行以下循环:第二供给工序包括激励第二处理气体的激励期间的第一循环组和第二供给工序不包括激励第二处理气体的期间的第二循环组。

Description

半导体处理用的成膜方法和装置
技术领域
本发明涉及在半导体晶片等被处理基板上形成氮化硅膜的半导体处理用的成膜方法和装置。在此,半导体处理指的是,通过以规定图形在晶片、LCD(Liquid Crystal Display:液晶显示器)那样的FPD(FlatPanel Display:平板显示器)用的玻璃基板等被处理基板上形成半导体层、绝缘层、导电层等,在该被处理基板上实施用于制造包括半导体器件、与半导体器件连接的配线、电极等的结构物的各种处理。
背景技术
在构成半导体集成电路的半导体器件的制造中,在被处理基板上,例如在半导体晶片上,实施成膜、蚀刻、氧化、扩散、改质、退火、自然氧化膜的除去等的各种处理。US2006/0286817A1公开了一种立式(所谓的间歇式)热处理装置中的这种半导体处理方法。在这种方法中,首先,将半导体晶片从晶片盒移载到立式晶舟上,并以多层支撑。在晶片盒中,例如可以收纳25个晶片,在晶舟中可以装载30~150个晶片。然后,将晶舟从处理容器的下方装载到其内部,同时将处理容器气密密封。接着,在控制处理气体的流量、处理压力、处理温度等的各种处理条件的状态下,进行规定热处理。
为了提高半导体集成电路的特性,提高半导体器件的绝缘膜的特性是非常重要的。作为半导体器件中的绝缘膜,能够使用SiO2、PSG(Phospho Silicate Glass:硅酸磷玻璃)、P(用等离子体CVD法形成的)-SiO、P(用等离子体CVD法形成的)-SiN、SOG(Spin On Glass:玻璃上旋涂)、Si3N4(氮化硅膜)等。特别是,由于氮化硅膜的绝缘特性比氧化硅膜更好,并且作为蚀刻停止膜和层间绝缘膜能充分起作用,因此大多倾向于使用氮化硅膜。此外,基于同样的理由,也经常使用掺杂有硼的氮化碳膜。
作为在半导体晶片的表面上形成上述氮化硅膜的方法,已知如下方法:使用甲硅烷(SiH4)、二氯硅烷(DCS:SiH2Cl2)、六氯乙硅烷(HCD:Si2Cl6)、双叔丁基氨基硅烷(BTBAS:SiH2(NH(C4H9))2、(t-C4H9NH)2SiH2等硅烷类气体作为硅源气体,通过热CVD(ChemicalVapor Deposition:化学汽相淀积)进行成膜的方法。例如,以SiH2Cl2+NH3(参照US5874368A)或Si2Cl6+NH3等气体的组合利用热CVD形成氮化硅膜。此外,还提案有为了减小介电常数而向氮化硅膜中添加作为杂质的例如硼(B)的方法。
近年来,伴随着半导体集成电路的进一步高集成化和高微细化的要求,希望减少半导体器件的制造工艺中的热过程(熱履歴),并提高器件的特性。即使在立式处理装置中,也希望为满足该要求而进行半导体处理方法的改良。例如,已知在作为一种成膜处理的CVD(Chemical Vapor Deposition:化学汽相淀积)中,一边间歇地供给原料气体等,一边一层或多层地重复形成原子或分子级别的厚度的层(例如参照特开平2-93071号、特开平6-45256号公报、US6165916A)。这种成膜方法一般称为ALD(Atomic layer Deposition:原子层淀积)或MLD(Molecular layer Deposition:分子层淀积),由此,即使晶片不暴露在这种程度的高温下也可以进行处理。
例如,在使用作为硅烷类气体的二氯硅烷(DCS)和作为氮化气体的NH3形成氮化硅膜(SiN)的情况下,进行以下处理。即,在处理容器内,夹着吹扫(purge)期间交替间歇地供给DCS和NH3气体。通过在供给NH3气体时施加RF(高频),在处理容器内生成等离子体从而促进氮化反应。在此,首先,通过向处理容器内供给DCS,在晶片表面上以分子级别吸附一层或多层DCS。多余的DCS在吹扫期间中被排除。接着,通过供给NH3并产生等离子体,通过在低温下氮化,形成氮化硅膜。重复进行这种一类列的工序,完成规定厚度的膜。
发明内容
本发明的目的是提供一种可以抑制微粒的产生、同时可以以高成膜率形成膜质良好的氮化硅膜的半导体处理用成膜方法和装置。
本发明的第一方面的半导体处理用成膜方法,其在能够选择性地供给包括硅烷类气体的第一处理气体和包括氮化气体的第二处理气体,并且与用于在供给上述第二处理气体时进行激励的激励机构连通的处理容器的处理区域内,在被处理基板上形成氮化硅膜,其特征在于:上述方法包括通过在配置有上述被处理基板的上述处理区域内进行多个循环并将在每个上述循环中形成的薄膜层叠,在上述被处理基板上形成具有规定厚度的氮化硅膜的成膜处理,上述各循环包括:第一供给工序,进行上述第一处理气体相对于上述处理区域的供给,另一方面,维持遮断上述第二处理气体相对于上述处理区域的供给;和第二供给工序,进行上述第二处理气体相对于上述处理区域的供给,另一方面,维持遮断上述第一处理气体相对于上述处理区域的供给,上述方法实质上不变更对上述处理区域的设定加热温度而混合地多个重复第一循环组和第二循环组,其中,上述第一循环组由上述第二供给工序包括将上述第二处理气体在通过上述激励机构激励的状态下向上述处理区域供给的激励期间的循环构成,上述第二循环组,由上述第二供给工序不包括通过上述激励机构激励上述第二处理气体的期间的循环构成。
本发明的第二方面的半导体处理用成膜装置,其特征在于,包括:具有收纳被处理基板的处理区域的处理容器;在上述处理区域内支撑上述被处理基板的支撑部件;对上述处理区域内的上述被处理基板进行加热的加热器;对上述处理区域内进行排气的排气系统;向上述处理区域供给包括硅烷类气体的第一处理气体的第一处理气体供给系统;向上述处理区域供给包括氮化气体的第二处理气体的第二处理气体供给系统;用于在供给上述第二处理气体时进行激励的激励机构;和控制上述装置的动作的控制部,上述控制部执行在被处理基板上形成氮化硅膜的半导体处理用的成膜方法,上述方法包括通过在配置有上述被处理基板的上述处理区域内进行多个循环并将在每个上述循环中形成的薄膜层叠,在上述被处理基板上形成具有规定厚度的氮化硅膜的成膜处理,上述各循环包括:第一供给工序,进行上述第一处理气体相对于上述处理区域的供给,另一方面,维持遮断上述第二处理气体相对于上述处理区域的供给;和第二供给工序,进行上述第二处理气体相对于上述处理区域的供给,另一方面,维持遮断上述第一处理气体相对于上述处理区域的供给;上述方法实质上不变更对上述处理区域的设定加热温度而混合地多个重复第一循环组和第二循环组,其中,上述第一循环组由上述第二供给工序包括将上述第二处理气体在通过上述激励机构激励的状态下向上述处理区域供给的激励期间的循环构成,上述第二循环组由上述第二供给工序不包括通过上述激励机构激励上述第二处理气体的期间的循环构成。
本发明的第三方面的计算机能够读取的介质,其包括用于在处理器上执行的程序指令,其在能够选择性地供给包括硅烷类气体的第一处理气体和包括氮化气体的第二处理气体并且与用于在供给上述第二处理气体时进行激励的激励机构连通的处理容器的处理区域内,在被处理基板上形成氮化硅膜的半导体处理用成膜装置中使用,其特征在于:上述程序指令在通过处理器执行时,使上述成膜装置执行成膜方法,上述方法包括通过在配置有上述被处理基板的上述处理区域内进行多个循环并将在每个上述循环中形成的薄膜层叠,在上述被处理基板上形成具有规定厚度的氮化硅膜的成膜处理,上述各循环包括:第一供给工序,进行上述第一处理气体相对于上述处理区域的供给,另一方面,维持遮断上述第二处理气体相对于上述处理区域的供给;和第二供给工序,进行上述第二处理气体相对于上述处理区域的供给,另一方面,维持遮断上述第一处理气体相对于上述处理区域的供给,上述方法实质上不变更对上述处理区域的设定加热温度而混合地多个重复第一循环组和第二循环组,其中,上述第一循环组由上述第二供给工序包括将上述第二处理气体在通过上述激励机构激励的状态下向上述处理区域供给的激励期间的循环构成,上述第二循环组,由上述第二供给工序不包括通过上述激励机构激励上述第二处理气体的期间的循环构成。
附图说明
图1是表示本发明实施方式涉及的成膜装置(立式CVD装置)的截面图。
图2是表示图1所示的装置的一部分的横断平面图。
图3是表示在本发明实施方式涉及的成膜方法中,气体供给和RF(高频)施加的情况的时序图。
图4是表示在供给NH3气体期间RF电源的ON状态的变形例的图。
图5是表示在使用图3所示的时序图的情况下的氮化硅膜的层叠状态的截面图。
图6是表示在实验中使用的实施例和比较例中,有等离子体的循环组和没有等离子体的循环组的组合的图。
图7是表示根据图6所示的实施例和比较例形成的氮化硅膜的微粒产生的图表。
图8是表示根据图6所示的实施例和比较例形成的氮化硅膜的应力的图表。
图9是表示根据图6所示的实施例和比较例形成的氮化硅膜的成膜率和膜厚的面间均匀性和面内均匀性的图表。
图10是表示根据图6所示的实施例和比较例形成的氮化硅膜的蚀刻率的图表。
图11A、11B是表示在根据本发明变形例的成膜方法中、气体供给和RF(高频)施加的情况的时序图。
具体实施方式
本发明人等在本发明的开发过程中,研究了关于在半导体处理中氮化硅膜的成膜方法的现有技术的问题。结果是,本发明人等得出以下见解。
即,如上所述,作为现有技术,存在在所谓的ALD或MLD成膜中,在供给作为氮化气体的NH3气体时通过高频(RF)产生等离子体从而促进氮化反应的技术。在这种情况下,与不使用等离子体的情况相比可以提高成膜率(成膜速度),并且可以大幅度提高堆积后氮化硅膜的膜质。但是,当产生等离子体时,由于此时所形成的氮化硅膜的膜应力变大等理由,确认在处理容器内产生的微粒增加。
关于这一点,发现在ALD和MLD成膜中,通过在供给氮化气体时产生等离子体的循环组中混合在供给氮化气体时不产生等离子体的循环组,可以抑制微粒的产生。即,在这种情况下,通过适当设定循环组的混合形式,可以抑制微粒的产生,并且可以以高成膜率形成膜质良好的氮化硅膜。此外,在成膜处理之前,通过采用在供给氮化气体时不产生等离子体的循环组进行处理容器内的预涂层处理,可以进一步提高这种效果。
下面参照附图说明基于这种见解构成的本发明的实施方式。另外,在以下说明中,对于具有大致相同功能和结构的构成要素,标注相同的符号,并仅在必要的情况下进行重复说明。
图1是表示本发明实施方式涉及的成膜装置(立式CVD装置)的截面图。图2是表示图1所示的装置的一部分的横断平面图。该成膜装置2具备可以选择地供给包括作为硅烷类气体的二氯硅烷(DCS)气体的第一处理气体和包括作为氮化气体的氨(NH3)气体的第二处气体的处理区域。成膜装置2构成为在这种处理区域内、在被处理基板上形成氮化硅膜。
成膜装置2具有在内部规定处理区域5的,下端开口的有顶棚的圆筒状的处理容器4,其中,上述处理区域5收纳并处理隔开间隔堆积的多个半导体晶片(被处理基板)。处理容器4的整体例如由石英构成。在处理容器4内的顶棚上,配设并密封石英制的顶板6。处理容器4的下端开口通过O形环等的密封部件10与成形为圆筒状的岐管8连接。此外,由于不另外设置岐管8,所以能够以圆筒体状的石英制处理容器构成为整体。
岐管8例如由不锈钢构成,并支撑处理容器4的下端。通过岐管8的下端开口,升降石英制的晶舟12,由此相对于处理容器4装载/卸载晶舟12。在晶舟12上多层载置有作为被处理基板的多个半导体晶片W。例如,在本实施方式的情况下,在晶舟12的支柱12A上,可以以大致相等的间距支撑例如50~100个左右的直径为300mm的晶片W。
晶舟12通过石英制的保温筒14载置在工作台16上。工作台16被支撑在旋转轴20上,该旋转轴20贯通开闭岐管8的下端开口的例如由不锈钢制成的盖体18。
在旋转轴20的贯通部上,设置有例如磁性流体密封22,可以气密密封并旋转地支撑旋转轴20。在盖体18的周边部和岐管8的下端部设置有由例如O形环等构成的密封部件24,用于保持容器内的密封性。
旋转轴20安装在例如被舟升降机等的升降机构25支撑的臂部26的前端上。通过升降机构25将晶舟12和盖体18等一体地升降。另外,工作台16固定设置在盖体18的一侧,可以不旋转晶舟12就进行晶片W的处理。
在岐管8的侧部连接有用于向处理容器4内的处理区域5供给规定处理气体的气体供给部。气体供给部包括第二处理气体供给系统28、第一处理气体供给系统30以及吹扫气体供给系统36。第一处理气体供给部30供给包括作为硅烷类气体的DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统28供给包括作为氮化气体的氨(NH3)气体的第二处理气体。吹扫气体供给系统36供给作为吹扫气体的不活泼性气体,例如N2气体。根据需要在第一和第二处理气体中混合适量的运载气体,但是在下面的说明中,为了容易说明而没有提及运载气体。
具体地说,第二和第一处理气体供给系统28、30分别具有向内侧贯通岐管8的侧壁并向上方向弯曲延伸的由石英管构成的气体分散喷嘴38、40(参照图1)。在各气体分散喷嘴38、40上,以沿着其长度方向(上下方向)并且跨越整个晶舟12上的晶片W的整体的方式按照规定间隔形成有多个气体喷射孔38A、40A。气体喷射孔38A、40A按照形成相对于晶舟12上的多个晶片W平行的气体流的方式,在水平方向大致均匀地、分别供给相应的处理气体。另一方面,吹扫气体供给系统36具有贯通岐管8的侧壁设置的短的气体喷嘴46。
喷嘴38、40、46通过气体供给管道(气体通路)48、50、56分别与NH3气体、DCS气体以及N2气体的气体源28S、30S、36S连接。在气体供给管道48、50、56上,配设有开闭阀48A、50A、56A和质量流量控制器那样的流量控制器48B、50B、56B。由此,可以分别对NH3气体、DCS气体和N2气体进行流量控制并供给。
在处理容器4的侧壁的一部分上,沿着其高度方向配设有气体激励部66。向与气体激励部66相对的处理容器4的相反侧,配设有用于对其内部气氛进行真空排气、例如通过在上下方向上切削处理容器4的侧壁而形成的细长的排气口68。
具体地说,气体激励部66具有通过沿着上下方向、以规定宽度切削处理容器4的侧壁而形成的上下细长的开口70。开口70被在处理容器4的外壁上气密熔接接合的石英制罩72覆盖。罩72以向处理容器4的外侧突出的方式形成为断面凹部状,并具有上下细长的形状。
通过这种结构,形成从处理容器4的侧壁突出并且一侧向处理容器4内开口的气体激励部66。即,气体激励部66的内部空间与处理容器4内的处理区域5连通。开口70在上下方向上形成足够长,使得在高度方向上可以覆盖保持在晶舟12上的所有晶片W。
在罩72的两侧壁的外侧面上,设置沿着其长度方向(上下方向)互相相对的细长的一对电极74。电极74通过供电线78与等离子体发生用高频电源76连接。通过向电极74施加例如13.56MHz的高频电压,在一对电极74之间形成用于激励等离子体的高频电场。另外,高频电压的频率不限于13.56MHz,也可以使用其它频率,例如400kHz等。
第二处理气体的气体分散喷嘴38在比晶舟12上的最下层晶片W更向下的位置上,向处理容器4的半径方向外侧弯曲。然后,气体分散喷嘴38在气体激励部66内的最里头(离处理容器4的中心最远的部分)的位置上,垂直起立。如图2所示,气体分散喷嘴38设置比在一对相对的电极74所夹持的区域(高频电场最强的位置),即比实际上主要产生等离子体的等离子体发生区域PS更向外侧的位置上。从气体分散喷嘴38的气体喷射孔38A喷射出来的包括NH3气体的第二处理气体喷向等离子体发生区域PS,并在这里选择性地激励(分解或活性化),在这种状态下,向晶舟12上的晶片W供给。
在罩72的外侧按照覆盖它的方式安装有例如由石英制的绝缘保护罩80。在绝缘保护罩80的内侧与电极74相对的部分上配设有由制冷剂通路构成的冷却机构(图中未示)。通过在制冷剂通路中流过作为制冷剂的例如被冷却的氮气来冷却电极74。另外,在绝缘保护罩80的外侧配设有覆盖它的、用于防止高频的泄漏的屏蔽件(图中未示)。
在气体激励部66的开口70的外侧附近,即开口70的外侧(处理容器4内)的一侧,垂直起立地配设有第一处理气体的气体分散喷嘴40。从在气体分散喷嘴40上形成的喷射孔40A向处理容器4的中心方向喷射包括DCS气体的第一处理气体。
另一方面,在与气体激励部66相对设置的排气口68上,通过熔接安装覆盖它的由石英构成的、成形为截面为コ字状的排气口罩部件82。排气罩部件82沿着处理容器4的侧壁向上方延伸,并在处理容器4的上方形成气体出口84。气体出口84与配设有真空泵等的真空排气系统GE连接。
按照包围处理容器4的方式,配设有加热处理容器4内的气氛和晶片W的加热器86。在处理容器4内的排气口68的附近,配设有用于控制加热器86的热电偶(图中未示)。
另外,成膜装置2还包括控制装置全体的动作的由计算机等构成的主控制部60。主控制部60根据在其附带的存储部62中预先存储的处理方案,例如,对应形成的膜的膜厚和组成等的条件进行后述的成膜处理和预涂层处理。此外,在这种存储部62中,还存储处理气体流量和膜的膜厚与组成的关类,作为预控制数据。因此,主控制部60基于这些被存储的处理方案和控制数据,可以控制升降机构25、气体供给系统28、30、36、排气系统GE、气体激励部66、加热器86等。另外,存储介质,例如是磁盘(软盘、硬盘(一个例子是在存储部62中包括的硬盘)等)、光盘(CD、DVD等)、磁性光盘(MO等)、半导体存储器等。
接下来,说明使用图1所示的装置进行的成膜方法(所谓的ALD或MLD成膜)。在这种成膜方法中,利用ALD或MLD在半导体晶片W上形成氮化硅膜。因此,向收纳有晶片W的处理区域5内选择性地供给包括作为硅烷类气体的二氯硅烷(DCS)气体的第一处理气体和包括作为氮化气体的氨(NH3)气体的第二处理气体。具体地说,通过以下操作进行成膜处理。
<成膜处理>
首先,将保持有多个、例如50~100个300mm尺寸的晶片W的常温的晶舟12装载在设定为规定温度的处理容器4内,并密封处理容器4。然后,将处理容器4内进行抽真空并维持在规定处理压力,同时升高晶片温度直到稳定在成膜用的处理温度为止待机。接着旋转晶舟12,同时分别控制流量并从气体分散喷嘴40、38间歇地供给第一和第二处理气体。
包括DCS气体的第一处理气体从气体分散喷嘴40的气体喷射孔40A以形成相对于晶舟12上的多个晶片W平行的气流的方式供给。在此期间,DCS气体通过处理区域5的加热温度而被活性化,DCS气体的分子或通过它们的分解产生的分解生成物的分子或原子吸附在晶片上。
另一方面,包括NH3气体的第二处理气体从气体分散喷嘴38的气体喷射孔38A以形成相对于晶舟12上的多个晶片W平行的气流的方式供给。在供给第二处理气体时,如下所述,气体激励部66根据循环组而设定为ON状态或OFF状态。
在气体激励部66设定为ON状态的情况下,第二处理气体在通过一对电极74之间的等离子体发生区域PS时被激励,一部分被等离子体化。此时,例如,产生N*、NH*、NH2 *、NH3 *等自由基(活性种)(记号“*”表示自由基)。在气体激励部66设定为OFF状态的情况下,第二处理气体的NH3,主要气体分子保持原样地通过气体激励部66。这些自由基或气体分子从气体激励部66的开口70向处理容器4的中心流出,在晶片W相互间按照层流状态供给。
源自通过等离子体激励的NH3气体的自由基、或通过处理区域5的加热温度而被活性化的NH3气体分子或通过它们的分解产生的分解生成物的分子或原子,与附着在晶片W的表面上的DCS气体分子等反应,由此在晶片W上形成薄膜。另外,与此相反,在附着有源自晶片W的表面上的NH3气体的自由基、分解生成物的分子或原子的地方上流动DCS气体的情况下,也发生同样的反应,在晶片W上形成氮化硅膜。另外,如果将气体激励部66设定为ON状态,则在促进反应的状态下进行成膜,如果将气体激励部66设定为OFF状态,则在反应速度变慢的状态下进行成膜。
图3是表示在本发明实施方式涉及的成膜方法中,气体供给和RF(高频)施加的情形的时序图。如图3所示,该实施方式涉及的成膜方法,混合第一循环组SC1和第二循环组SC2,例如像本例这样重复交替进行多个操作。第一循环组SC1由通过气体激励部66激励包括NH3气体的第二处理气体的循环构成。第二循环组SC2由不通过气体激励部66激励第二处理气体的循环构成。在本例中,第一和第二循环组SC1、SC2分别由3个循环的组构成,各循环由第一到第四工序T1~T4构成。即,多个重复进行由第一到第四工序T1~T4构成的循环,通过层叠在每个循环中形成的硅氮化物的薄膜,得到最终厚度的氮化硅膜。
具体地说,在第一工序T1中,进行相对于处理区域5的第一处理气体(图3中以DCS表示)的供给,另一方面,维持相对于处理区域5的第二处理气体(图3中由NH3表示)的供给的遮断。在第二工序T2中,维持相对于处理区域5的第一和第二处理气体的供给的遮断。在第三工序T3中,进行相对于处理区域5的第二处理气体的供给,另一方面,维持相对于处理区域5的第一处理气体的供给的遮断。在第四工序T4中,维持相对于处理区域5的第一和第二处理气体的供给的遮断。
第二和第四工序T2、T4用作排除处理容器4内残留的气体的吹扫工序。在此,吹扫工序指的是,通过在流动N2气体等不活泼性气体的同时对处理容器4内进行真空排气,或者通过维持所有气体的供给的遮断并对处理容器4进行真空排气,除去处理容器4内的残留气体。此外,第二和第四工序T2、T4的前半仅进行真空排气,后半可以同时进行真空排气和不活泼性气体的供给。另外,在第一和第三工序T1、T3中,在供给第一和第二处理气体时,可以停止处理容器4内的真空排气。可是,在对处理容器4内进行真空排气的同时进行第一和第二处理气体的供给的情况下,在整个第一到第四工序T1~T4过程中,可以连续进行处理容器4内的真空排气。
在第一循环组SC1的第三工序T3中,通过将RF电源76设定在ON状态并用气体激励部66对第二处理气体进行等离子体化,在激励状态下向处理区域5供给第二处理气体。在第二循环组SC2的第三工序T3中,在将RF电源76设定为OFF状态并且不用气体激励部66进行等离子体化的情况下向处理区域5供给第二处理气体。可是,相对于处理区域5的利用加热器86的设定加热温度在第一和第二循环组SC1、SC2中相同,实质上没有根据循环组而变更。
在图3中,第一工序T1设定为约2~10秒,第二工序T2设定为约5~15秒,第三工序T3设定为约10~20秒,第四工序T4设定为约5~15秒。此外,作为第一和第二循环组SC1、SC2的平均值,通过第一至第四工序T1~T4的一个循环形成的膜厚为0.11~0.13nm左右。因此,如果目标膜厚例如为50nm,则重复操作这个循环450(=150循环组)次左右。但是,这些时间和厚度只不过是表示一个例子,并不限定于这个数值。
图4是表示供给NH3气体期间的RF电源的ON状态的变形例的图。在这个变形例的第三工序T3中,通过从中途使RF电源76处于ON状态,只在辅助工序T3b期间、在激励的状态下向处理区域5供给第二处理气体。即,在第三工序T3中,经过规定时间Δt之后,使RF电源76处于ON状态,并利用气体激励部66使第二处理气体等离子体化,只在辅助工序T3b之间、在激励状态下向处理区域5供给第二处理气体。该规定时间Δt是直到NH3气体的流量稳定的时间,例如为5秒钟左右。通过像这样使第二处理气体的流量稳定化之后导通RF电源,生成等离子体,可以提高晶片W的面间方向(高度方向)的活性种的浓度均匀性。
图5是表示使用图3所示的时序图的情况下的氮化硅膜的层叠状态的截面图。如图5所示,在晶片W的表面上交替层叠不使用等离子体的SiN区域90A和使用等离子体的SiN区域90B。在图3所示的时序图的情况下,各SiN区域90A、90B是通过对应3个循环的3个单位薄膜形成的。
根据上述的成膜方法,可以极力抑制颗粒的产生,并且在形成的氮化硅膜整体中,在全部的NH3气体供给时,可以获得与使用等离子体进行成膜时的氮化硅膜相同的膜质。即,形成的氮化硅膜的介电常数可以非常低,并且可以大幅度地提高其干蚀刻时的耐蚀刻性。例如,不论在比现有的成膜温度例如760℃左右更低的温度例如550℃下成膜,可以减小相对于这个膜的表面的清洗处理时和蚀刻处理时所使用的稀氟酸的蚀刻率。结果是,可以防止清洗处理时过度切削膜,并且可以提高该膜厚的控制性。此外,该膜能够充分实现作为蚀刻停止膜和层间绝缘膜的功能。
上述成膜处理的处理条件如下所述。DCS气体的流量在50~2000sccm范围内,例如为1000sccm(1slm)。NH3气体的流量在500~5000sccm范围内,例如为1000sccm。处理温度为比通常的CVD处理温度低的温度,具体在300~700℃的范围内,优选在450~630℃的范围内。如果处理温度低于300℃,则因为没有发生反应而使膜几乎都不堆积。如果处理温度高于700℃,则通过CVD形成膜质劣化的堆积膜,并且使形成的金属膜受到热损伤。另外,在第一和第二循环组SC1、SC2中,尽管因为等离子体的有无而使处理区域5内的温度发生稍微变化,但是相对于处理区域5的通过加热器86的设定加热温度在第一和第二循环组SC1、SC2中实质上是相同的。
处理压力在13Pa(0.1Torr)~13300Pa(100Torr)范围内,优选在40Pa(0.3Torr)~266Pa(2Torr)范围内,更优选在93Pa(0.7Torr)~107Pa(0.8Torr)范围内。例如,处理压力在第一工序(DCS供给工序)T1中为1Torr,在第三工序(NH3供给工序)T3中为0.3Torr。在处理压力小于13Pa的情况下,成膜率在实用水平以下。在处理压力在13300Pa以下时,由于相对于晶片W的反应是以吸附反应为主流的,因此膜质良好的薄膜以高的成膜速度稳定地堆积,可以获得良好的结果。但是,如果处理压力大于13300Pa,则反应形态从吸附反应向气相反应转移,并以气相反应为主流。结果是,由于不仅膜的面间和面内均匀性降低,而且气相反应所引起的颗粒急剧增大,因此不是优选的。
此外,第一和第二循环组SC1、SC2的构成循环数不限于3个循环,例如可以将1~10个循环定义为一个循环组。此外,在图3中,尽管先进行第二循环组SC2,但是也可以先进行第一循环组SC1。此外,在图3中,尽管在1个循环中先供给DCS,但取而代之,也可以先供给NH3气体。第一和第二循环组SC1、SC2的混合状态没有必要完全一定,也可以是随机的。但是,如果考虑到控制的容易性,则优选这种混合状态是一定(交替)的。
此外,优选第一循环组SC1的构成循环数比第二循环组SC2的构成循环数大。如果不使用等离子体的第二循环组SC2的构成循环数或实施频率过多,则膜质特性劣化,相反如果过少,则颗粒的发生急剧增加。例如,使用等离子体的第一循环组SC1可以由3个循环、4个循环或更多的循环构成,另一方面,不使用等离子体的第二循环组SC2可以只由一个循环或两个循环构成。
图11A、11B是表示在本发明变形例涉及的成膜方法中,气体供给和RF(高频)施加的状态的时序图。在图11A的变形例中,第一和第二循环组SC1、SC2分别由一个循环构成,并交替进行。在图11B的变形例中,第一循环组SC1由两个循环构成,第二循环组SC2由一个循环构成,并交替进行。
<实验>
作为上述实施方式的实施例PE1、PE2、PE3以及比较例CE1、CE2,使用图1所示的装置,通过有等离子体的循环组和没有等离子体的循环组的组合不同的成膜方法形成氮化硅膜,并进行其评价。这个实验中的成膜处理的处理条件的基准如上所述,成膜温度为550℃,目标膜厚为50nm左右。
图6是表示在实验中使用的实施例和比较例中,有等离子体的循环组和没有等离子体的循环组的组合的图。图6中,斜线区域表示在第三工序(NH3供给工序)T3中的有等离子体的第一循环组SC1,空白区域表示在第三工序(NH3供给工序)T3中的没有等离子体的第二循环组SC2。在这个实验中,一个循环组由一个循环构成。
如图6所示,(A)在比较例CE1中,所有循环组都是有等离子体的第一循环组SC1。(B)在比较例CE2中,所有循环组都是没有等离子体的第二循环组SC2。(C)在实施例PE1中,一个有等离子体的第一循环组SC1和一个没有等离子体的第二循环组SC2交替进行。图3所示的流程图中,尽管一个循环组中的循环数不同,但是对应实施例PE1。(D)在实施例PE2中,两个有等离子体的第一循环组SC1和一个没有等离子体的第二循环组SC2交替进行。(E)在实施例PE3中,3个有等离子体的第一循环组SC1和一个没有等离子体的第二循环组SC2交替进行。
测定根据图6所示的实施例和比较例形成的氮化硅膜上产生的颗粒数量/晶片。在此,在同一成膜装置内按照比较例CE1→比较例CE2→实施例PE1→实施例PE2→实施例PE3的顺序进行成膜处理。测定对象颗粒的尺寸为0.08~1.00μm。测定用晶片的位置为晶舟12的TOP(顶部)、CTR(中心)、BTM(底部)。
图7是表示根据图6所示的实施例和比较例形成的氮化硅膜的颗粒发生的图形。如图7所示,在比较例CE1的情况下,晶舟的整个范围上的颗粒数为300个以上,颗粒产生的多,是不优选的。但是,氮化硅膜的膜质却相当地良好。在比较例CE2的情况下,晶舟的整个区域上颗粒数量为十几个左右,非常少,是良好的。但是,形成的氮化硅膜的膜质不好。
另一方面,在实施例PE1~PE3的情况下,在第三工序(NH3供给工序)T3中使用等离子体的比率高,因此颗粒数稍微增加。但是,即使这样也远比比较例CE1的情况少,也可以获得良好的结果。在这种情况下,形成的氮化硅膜的膜质也分别比较好。
接下来,测定在根据图6所示的实施例和比较例形成的氮化硅膜上产生的应力。在该膜应力大的情况下,在氮化硅膜上产生裂纹,容易剥落,并成为产生颗粒的原因之一。测定用晶片的位置为晶舟12的TOP(顶部)、BTM(底部)。
图8是表示根据图6所示的实施例和比较例形成的氮化硅膜的应力的图形。如图8所示,比较例CE1的膜应力远远高于比较例CE2以及实施例PE1~PE3,达到0.621GPa左右。尽管像这样比较例CE1的膜应力很大,但是如在图7中说明的那样,要考虑这是比较例CE1的颗粒数的发生个数很多的理由之一。
在比较例CE2的情况下,膜应力最小,由于在第三工序(NH3供给工序)T3中使用等离子体的比率大,因此膜应力也稍微增加。尽管如此,实施例PE3中的0.404GPa为最大,这个值远远小于比较例CE1的情况。尽管这种膜应力很小,但是考虑这是可以抑制图7中的比较例CE2、实施例PE1~PE3的颗粒数的理由之一。另外,通过改变在第三工程(NH3供给工序)T3中生成等离子体的比率,能够控制膜应力。
接着,评价根据图6所示的实施例和比较例形成的氮化硅膜的成膜率和膜厚的面间均匀性和面内均匀性。测定用晶片的位置为晶舟12的TOP(顶部)、CTR(中心)、BTM(底部)。
图9是表示根据图6所示实施例和比较例形成的氮化硅膜的成膜率和膜厚的面间均匀性和面内均匀性的图形。图9中,棒表示成膜率,由“□”构成的折线表示膜厚的面内均匀性,由“◇”构成的点表示膜厚的面间均匀性。
如图9所示,在比较例CE1的情况下,由于存在等离子体而使成膜率很大,为0.126nm/循环左右。在比较例CE2的情况下,由于没有等离子体而使成膜率很小,为0.089nm/循环左右。与此相对,在实施例PE1~PE3的情况下,表示了比比较例CE1小且远远大于较例CE2的良好的成膜率。即,在第三工序(NH3供给工序)T3中使用等离子体的比率大,因此成膜率也随着变大,在实施例PE1中为0.111nm/循环,在实施例PE3中为0.119nm/循环左右。
膜厚的面内均匀性尽管随着TOP、CTR、BTM的各位置而变化,但是与比较例CE2相比,实施例PE1~PE3表示相同的倾向,并获得比较好的结果。对于膜厚的面间均匀性,与比较例CE1、CE3分别为±2%以下的情况相比,在实施例PE1~PE3中分别小于±1%,表示出良好的结果。
接着,测定根据图6所示的实施例和比较例形成的氮化硅膜的蚀刻率。作为蚀刻液,使用0.5%的稀氟酸(0.5%DHF)。测定用晶片的位置为晶舟12的TOP(顶部)、CTR(中心)、BTM(底部)。但是,比较例CE1的情况只是CTR(中心)位置。
图10是表示根据图6所示实施例和比较例形成的氮化硅膜的蚀刻率的图形。如图10所示,比较例CE2的蚀刻率为0.592nm/min左右,比较大。与此相对,在实施例PE1~PE3中,蚀刻率为0.525~0.545nm/min左右,比比较例CE2小,成为与膜质良好的比较例CE1的0.553nm/min相同程度的值。即,判断出实施例PE1~PE3的蚀刻率小,表现良好的特性。
<预涂层处理>
在根据本发明的实施方式的成膜方法中,在通过上述成膜处理在作为被处理基板的制品用半导体晶片W上形成氮化硅膜之前,可以进行在处理容器4内形成预涂层膜的预涂层处理。在预涂层处理中,在处理区域5中,晶舟12在不支撑晶片的空的状态或代替制品用半导体晶片W而支撑模拟晶片的状态下被收纳。关于气体供给,预涂层处理与成膜处理相同,多个重复进行由图3所示的第一到第四工序T1~T4构成的循环。通过层叠每个循环形成的氮化硅薄膜,能够得到最终厚度的氮化硅膜。
具体地说,在第一工序T1中,相对于处理容器4供给第一处理气体(图3中由DCS表示),另一方面,维持相对于处理容器4的第二处理气体(图3中由NH3表示)的供给的遮断。在第二工序T2中,维持相对于处理容器4的第一和第二处理气体的供给的遮断。在第三工序T3中,相对于处理容器4供给第二处理气体,另一方面,维持相对于处理容器4的第一处理气体的供给的遮断。在第四工序T4中,维持相对于处理容器4的第一和第二处理气体的供给的遮断。第二和第四工序T2、T4用作排除处理容器4内残留的气体的吹扫工序。
可是,在预涂层处理的第三工序T3中,通常将RF电源76设定为OFF状态并且不用气体激励部66使第二处理气体等离子体化就向处理容器4供给。换言之,重复进行不使用等离子体的第二循环组SC2,在处理容器4内形成预涂层膜。预涂层处理的处理压力和处理温度等的其它处理条件与上述的成膜处理的处理条件相同。
通过预涂层处理,在处理容器4的内壁面和晶舟12等的处理容器4内的结构物的表面上施加不用等离子体而形成硅氮化物的预涂层膜。在形成预涂层膜之后,从处理容器4卸载晶舟12,然后,将装载区域(图中未示)中的应进行成膜处理的制品晶片W移载到该晶舟12,并与上述同样进行接下来的成膜处理。
通过将上述的预涂层处理和成膜处理相组合,可以极力抑制由处理容器4的内面等上堆积的副生成物膜导致的颗粒的产生。因此,可以进一步提高通过成膜处理在晶片W上形成的氮化硅膜的膜质。此外,在进行预涂层处理的情况下,在之后的成膜处理中可以只重复进行使用等离子体的第一循环组SC1,确认可以抑制颗粒的发生。
<其它变形例>
在上述实施方式中,作为成膜装置2,例示了将形成等离子体的激励部66与处理容器4成一体组装的结构。作为代替,激励部66可以设置成与处理容器4不是一体,也可以在处理容器4的外面预先激励NH3气体(所谓的远程等离子体),并向处理容器4内供给这种被激励的NH3气体。
在上述实施方式中,作为第一处理气体中的硅烷类气体,例示了DCS气体。关于这一点,作为硅烷类气体,也可以使用选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷[SiH4]、六氯乙硅烷[Si2H6]、六甲基二硅氮烷(HMDS)、四氯硅烷(TCS)、二甲硅烷基胺(DSA)、三甲硅烷基胺(TSA)、双叔丁基氨基硅烷(BTBAS)、三甲基硅烷(TMS)、二甲基硅烷(DMS)、一甲胺(MMA)的一种以上的气体。
在上述实施方式中,作为第二处理气体的氮化气体,例示了NH3气体。关于这一点,作为氮化气体,可以使用选自氨[NH3]、氮气[N2]、一氧化二氮[N2O]、一氧化氮[NO]中的一种以上的气体。
在通过上述实施方式形成的氮化硅膜上,可以施加硼(B)和/或碳(C)等的成分。在这种情况下,成膜处理的各循环还包括供给掺杂气体和/或碳氢化合物气体的一个以上的工序。作为用于掺杂硼的含硼气体,可以包括选自BCl3、B2H6、BF3、B(CH3)3中的一种以上的气体。作为用于施加碳的碳氢气体,可以使用选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷中的一种以上的气体。
作为被处理基板,并不限定于半导体晶片,也可以是LCD基板、玻璃基板等其它的基板。

Claims (16)

1.一种成膜装置的使用方法,其能够抑制微粒的产生地在多个被处理基板上通过CVD形成氮化硅膜,该成膜装置的使用方法的特征在于:
所述成膜装置包括:
具有收纳所述被处理基板的竖长的处理区域的处理容器;
在所述处理区域内在上下隔开间隔地叠层并支撑所述被处理基板的支撑部件;
安装在所述处理容器的侧壁的激励机构,所述激励机构具有与所述处理区域连通的等离子体发生区域和沿着该等离子体发生区域延伸并被施加高频电力的电极,所述等离子体发生区域被配置在形成于所述处理容器的凹部内,并且遍及与所述处理区域对应的上下方向的长度地延伸;
有选择地向所述处理区域供给硅源气体和氮化气体的处理气体供给系统,所述硅源气体不通过所述等离子体发生区域地被供向所述处理区域,所述氮化气体通过所述等离子体发生区域被供向所述处理区域,所述硅源气体和所述氮化气体按照遍及与所述处理区域对应的上下方向的长度实质形成水平气流的方式被供给;和
从与所述处理容器连接的排气通路对所述处理区域内进行排气的排气系统,
所述方法包括:
在所述处理区域配置有空的状态的所述支撑部件或代替所述被处理基板支撑模拟晶片的状态的所述支撑部件的情况下,在所述处理容器内进行预涂层处理,该预涂层处理是进行多个预循环,在所述处理容器的内面和所述支撑部件的表面形成预涂层膜的处理,各个所述预循环依次包括:第一预供给工序,向所述处理容器供给所述硅源气体,另一方面,不向所述处理容器供给所述氮化气体;第一预吹扫工序,不向所述处理容器供给所述硅源气体和所述氮化气体,对所述处理容器进行排气;第二预供给工序,向所述处理容器供给所述氮化气体,另一方面,不向所述处理容器供给所述硅源气体;和第二预吹扫工序,不向所述处理容器供给所述硅源气体和所述氮化气体,对所述处理容器进行排气,该第二预供给工序不具备通过所述激励机构激励所述氮化气体的期间;
在所述预涂层处理之后,在所述处理区域配置有支撑所述被处理基板的所述支撑部件的情况下,在所述处理容器内进行成膜处理,该成膜处理是进行多个主循环并将在每个所述主循环中形成的薄膜层叠,由此在所述被处理基板上形成具有规定厚度的氮化硅膜的处理,各个所述主循环依次包括:第一供给工序,向所述处理容器供给所述硅源气体,另一方面,不向所述处理容器供给所述氮化气体;第一吹扫工序,不向所述处理容器供给所述硅源气体和所述氮化气体,对所述处理容器进行排气;第二供给工序,向所述处理容器供给所述氮化气体,另一方面,不向所述处理容器供给所述硅源气体;和第二吹扫工序,不向所述处理容器供给所述硅源气体和所述氮化气体,对所述处理容器进行排气,
所述成膜处理实质上不变更对所述处理区域的设定加热温度而混合地多个重复第一循环组和第二循环组,其中,
所述第一循环组由所述第二供给工序包括将所述氮化气体在通过所述激励机构激励的状态下进行供给的激励期间的主循环构成,
所述第二循环组,由所述第二供给工序不包括通过所述激励机构激励所述氮化气体的期间的主循环构成,
对所述预涂层处理和所述成膜处理进行组合,通过在所述处理容器的内面和所述支撑部件的表面上形成所述预涂层膜以及所述成膜处理中的所述第二循环组对膜应力的降低,抑制由堆积在所述处理容器内的副生成物膜导致的颗粒的产生。
2.根据权利要求1所述的方法,其特征在于:
所述成膜处理将所述第一循环组和所述第二循环组交替多个重复。
3.根据权利要求1所述的方法,其特征在于:
所述第一循环组包括多个主循环。
4.根据权利要求3所述的方法,其特征在于:
所述第一循环组的主循环数量比所述第二循环组的主循环数量多。
5.根据权利要求1所述的方法,其特征在于:
所述成膜处理交替重复多次所述第一循环组和所述第二循环组,所述第一循环组的主循环的数量为3以上,所述第二循环组的主循环的数量是1。
6.根据权利要求1所述的方法,其特征在于:
所述各主循环构成为在所述第一供给工序、所述第一吹扫工序、所述第二供给工序、以及所述第二吹扫工序的整个期间,对所述处理容器连续进行排气。
7.根据权利要求1所述的方法,其特征在于:
所述第一和第二吹扫工序包括对所述处理容器进行吹扫气体的供给的期间。
8.根据权利要求1所述的方法,其特征在于:
所述第一循环组的所述第二供给工序还包括:在所述激励期间之前,将所述氮化气体在不通过所述激励机构进行激励的状态下进行供给的期间。
9.根据权利要求1所述的方法,其特征在于:
在所述预涂层处理中,所述支撑部件支撑模拟基板,代替支撑所述被处理基板。
10.根据权利要求1所述的方法,其特征在于:
所述排气系统包括在与所述等离子体发生区域夹着所述处理区域相对的位置形成在所述处理容器的沿上下方向延伸的排气口,所述排气通路与所述排气口连接。
11.根据权利要求1所述的方法,其特征在于:
所述成膜装置还具备控制所述成膜装置的动作的控制部,所述控制部包括非暂时性的计算机可读存储介质,该存储介质包括用于在处理器上执行的程序指令,所述程序指令在被处理器执行时,控制所述成膜装置,根据所述方法进行所述预涂层处理和所述成膜处理。
12.根据权利要求1所述的方法,其特征在于:
在所述第一和第二供给工序中,所述处理区域的温度被设定在300℃~700℃的范围内。
13.根据权利要求1所述的方法,其特征在于:
在所述第一和第二供给工序中,所述处理区域的压力被设定在13Pa~13300Pa的范围内。
14.根据权利要求1所述的方法,其特征在于:
所述硅源气体包括选自二氯硅烷、六氯乙硅烷、单硅烷、乙硅烷、六甲基二硅氮烷、四氯硅烷、二甲硅烷基胺、三甲硅烷基胺、双叔丁基氨基硅烷、三甲基硅烷、二甲基硅烷、和一甲胺中的气体,所述氮化气体包括选自氨气、氮气、一氧化二氮、和一氧化氮中的气体。
15.根据权利要求14所述的方法,其特征在于:
所述成膜处理的所述各主循环还包括供给选自掺杂气体和碳氢化合物气体的一种以上的气体的工序。
16.根据权利要求1所述的方法,其特征在于:
所述预涂层处理使用与所述成膜处理相同的处理温度。
CN2008101428745A 2007-06-11 2008-06-11 半导体处理用的成膜方法和装置 Active CN101325160B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007153735 2007-06-11
JP2007-153735 2007-06-11
JP2007153735A JP5151260B2 (ja) 2007-06-11 2007-06-11 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
CN101325160A CN101325160A (zh) 2008-12-17
CN101325160B true CN101325160B (zh) 2012-04-25

Family

ID=40132751

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101428745A Active CN101325160B (zh) 2007-06-11 2008-06-11 半导体处理用的成膜方法和装置

Country Status (5)

Country Link
US (2) US20080311760A1 (zh)
JP (1) JP5151260B2 (zh)
KR (1) KR101086588B1 (zh)
CN (1) CN101325160B (zh)
TW (1) TWI478238B (zh)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4943047B2 (ja) * 2006-04-07 2012-05-30 東京エレクトロン株式会社 処理装置及び処理方法
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5158068B2 (ja) * 2009-02-20 2013-03-06 東京エレクトロン株式会社 縦型熱処理装置及び熱処理方法
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
TWI562204B (en) * 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
WO2013027549A1 (ja) * 2011-08-25 2013-02-28 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置および記録媒体
KR101380240B1 (ko) 2011-11-17 2014-04-03 주식회사 유진테크 열차단플레이트를 포함하는 기판 처리 장치
CN102394222B (zh) * 2011-11-24 2016-05-04 上海华虹宏力半导体制造有限公司 防止晶圆表面形成固体颗粒的方法
KR101371435B1 (ko) * 2012-01-04 2014-03-12 주식회사 유진테크 처리유닛을 포함하는 기판 처리 장치
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US9064694B2 (en) 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US10297481B2 (en) * 2013-03-21 2019-05-21 Tokyo Electron Limited Magnetic annealing apparatus
JP6011420B2 (ja) * 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
JP6562629B2 (ja) * 2013-12-30 2019-08-21 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6462161B2 (ja) * 2016-02-09 2019-01-30 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
JP6635839B2 (ja) * 2016-03-23 2020-01-29 東京エレクトロン株式会社 窒化膜の形成方法
JP6333302B2 (ja) * 2016-03-30 2018-05-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
KR102541454B1 (ko) * 2018-04-26 2023-06-09 삼성전자주식회사 저유전막의 형성 방법, 및 반도체 소자의 형성방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
CN108570656B (zh) * 2018-05-16 2020-02-18 深圳市硅光半导体科技有限公司 一种氮化硅膜的制作方法及氮化硅膜
JP6852040B2 (ja) * 2018-11-16 2021-03-31 大陽日酸株式会社 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム
JP7209568B2 (ja) 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
CN1547624A (zh) * 2002-07-12 2004-11-17 ���������ƴ���ʽ���� 半导体处理用的成膜方法
CN1790629A (zh) * 2004-12-14 2006-06-21 东京毅力科创株式会社 半导体处理用成膜方法和装置
CN1831192A (zh) * 2005-03-11 2006-09-13 东京毅力科创株式会社 半导体处理用成膜方法、成膜装置和存储介质

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) * 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP2004281853A (ja) * 2003-03-18 2004-10-07 Hitachi Kokusai Electric Inc 基板処理装置
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100841866B1 (ko) * 2005-02-17 2008-06-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1547624A (zh) * 2002-07-12 2004-11-17 ���������ƴ���ʽ���� 半导体处理用的成膜方法
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
CN1790629A (zh) * 2004-12-14 2006-06-21 东京毅力科创株式会社 半导体处理用成膜方法和装置
CN1831192A (zh) * 2005-03-11 2006-09-13 东京毅力科创株式会社 半导体处理用成膜方法、成膜装置和存储介质

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Shin Yokoyama et al.Atomic-layer selective deposition of silicon nitride on hydrogen-terminated Si surfaces.《Applied Surface Science》.ELSEVIER,1998,(第130-132期),352-356. *

Also Published As

Publication number Publication date
US20100304574A1 (en) 2010-12-02
CN101325160A (zh) 2008-12-17
US20080311760A1 (en) 2008-12-18
KR101086588B1 (ko) 2011-11-23
TWI478238B (zh) 2015-03-21
JP2008306093A (ja) 2008-12-18
TW200915426A (en) 2009-04-01
KR20080108912A (ko) 2008-12-16
US8178448B2 (en) 2012-05-15
JP5151260B2 (ja) 2013-02-27

Similar Documents

Publication Publication Date Title
CN101325160B (zh) 半导体处理用的成膜方法和装置
CN101481794B (zh) 半导体处理的成膜方法和装置
CN101488452B (zh) 半导体处理用的成膜方法和装置
CN101005029B (zh) 半导体处理用成膜方法和装置
US7351668B2 (en) Film formation method and apparatus for semiconductor process
CN101252087B (zh) SiCN膜形成方法及形成装置
US7964241B2 (en) Film formation method and apparatus for semiconductor process
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
US8591989B2 (en) SiCN film formation method and apparatus
CN101381861B (zh) 成膜方法
US9905413B2 (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant