CN101481794B - 半导体处理的成膜方法和装置 - Google Patents

半导体处理的成膜方法和装置 Download PDF

Info

Publication number
CN101481794B
CN101481794B CN2009100002237A CN200910000223A CN101481794B CN 101481794 B CN101481794 B CN 101481794B CN 2009100002237 A CN2009100002237 A CN 2009100002237A CN 200910000223 A CN200910000223 A CN 200910000223A CN 101481794 B CN101481794 B CN 101481794B
Authority
CN
China
Prior art keywords
gas
processing
processing region
supply
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2009100002237A
Other languages
English (en)
Other versions
CN101481794A (zh
Inventor
长谷部一秀
野寺伸武
李殷朝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101481794A publication Critical patent/CN101481794A/zh
Application granted granted Critical
Publication of CN101481794B publication Critical patent/CN101481794B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明提供一种半导体处理的成膜方法和装置。其在能够有选择性地供给包含硅烷类气体的第一处理气体和包含氮化气体的第二处理气体的处理区域内,进行在被处理基板上形成氮化硅膜的成膜处理。成膜处理由主阶段和辅助阶段构成,辅助阶段设定在成膜处理的初期和末期中的一方或者双方。主阶段具有利用激励机构将第二处理气体在已被激励的状态下供给到处理区域的激励期间。辅助阶段不具有利用激励机构将第二处理气体在已被激励的状态下供给到处理区域的激励期间。

Description

半导体处理的成膜方法和装置
技术领域
本发明涉及一种在半导体晶片等的被处理基板上形成氮化硅膜的半导体处理用的成膜方法和装置。这里,半导体处理是指,为了通过以规定的图案在晶片或LCD(液晶显示器)这样的FPD(平板显示器)用的玻璃基板等被处理基板上形成半导体层、绝缘层、导电层等,从而,在该被处理基板上制造包括半导体器件、与半导体器件连接的配线、电极等的构造物而实施的各种处理。
背景技术
在构成半导体集成电路的半导体器件的制造中,对被处理基板例如半导体晶片实施成膜、蚀刻、氧化、扩散、改性、退火、除去自然氧化膜等各种处理。US2006/0286817 A1公开了纵型(所谓的分批式)热处理装置的这种半导体处理方法。在该方法中,首先,将半导体晶片从晶片盒移载到纵型的晶舟上,并被多层地支承。在晶片盒中能够收容例如25枚晶片,在晶舟上能够载置30~150枚晶片。接着,晶舟从处理容器的下方装载到其内部,并且处理容器被气密地封闭。然后,在控制处理气体的流量、处理压力、处理温度等各种处理条件的状态下,进行规定的热处理。
为了提高半导体集成电路的特性,提高半导体器件的绝缘膜的特性很重要。作为半导体器件中的绝缘膜,使用SiO2、PSG(PhosphoSilicate Glass:硅酸磷玻璃)、P(通过等离子体CVD法形成)-SiO、P(通过等离子体CVD法形成)-SiN、SOG(Spin On Glass:旋涂式玻璃法)、Si3N4(氮化硅膜)等。尤其是由于氮化硅膜的绝缘特性相比氧化硅膜更强、并且作为蚀刻抑制膜或层间绝缘膜具有足够强的功能,因此,越来越多地得到使用。另外,基于相同的原因,也经常使用掺杂有硼的氮化碳膜。
作为在半导体晶片表面形成上述氮化硅膜的方法,使用甲硅烷(SiH4)、二氯硅烷(DCS:SiH2Cl2)、六氯乙硅烷(HCD:Si2Cl6)、双叔丁基氨基硅烷(BTBAS:SiH2(NH(C4H9))2、(t-C4H9NH)2SiH2等硅烷类气体作为硅源气体,通过热CVD(Chemical Vapor Deposition:化学气相沉积)进行成膜的方法是公知的。例如,用SiH2Cl2+NH3(参照US5874368 A)或者Si2Cl6+NH3等气体的组合通过热CVD法形成氮化硅膜。另外,也提出了为了减小介电率,在氮化硅膜中添加杂质、例如硼(B)的方法。
近年来,随着对半导体集成电路的更高集成化和更精细化的要求,希望减少半导体器件制造工艺中的热滞后,提高器件的特性。即使对于纵型的处理装置,也希望按照上述需求改进半导体处理方法。例如,有在作为成膜处理的一种的CVD(Chemical Vapor Deposition:化学气相沉积)法中,间断地供给原料气体等,同时反复形成一层或多层原子或分子级别的厚度的层而进行成膜的方法(例如参照特开平2-93071号公报、特开平6-45256号公报、US6165916A)。上述成膜方法通常被称为ALD(Atomic Layer deposition:原子层沉积)法或MLD(MolecularLayer Deposition:分子层沉积)法,从而,即使将晶片暴露在那样的高温中,也能进行处理达到目的。
例如,使用硅烷类气体的二氯硅烷(DCS)和氮化气体的NH3形成氮化硅膜(SiN)时,如下所述进行处理。即,夹着清扫期间交替间断地向处理容器内供给DCS和NH3气体。供给NH3气体时,通过施加RF(高频),在处理容器内生成等离子体促进氮化反应。这里,首先向处理容器内供给DCS,由此在晶片表面上以分子级别吸附一层或多层DCS。在清扫期间排除多余的DCS。接着,供给NH3生成等离子体,由此通过在低温下的氮化形成氮化硅膜。反复实施上述一系列工序,形成规定厚度的膜。
发明内容
本发明的目的在于提供一种能够抑制微粒产生,并且能够形成膜质良好的氮化硅膜的半导体处理用的成膜方法和装置。
本发明的第一观点是,提供一种半导体处理用的成膜方法,其能够有选择性地供给包含硅烷类气体的第一处理气体和包含氮化气体的第二处理气体,并且在与供给上述第二处理气体时用于激励的激励机构连通的处理容器的处理区域内,进行在被处理基板上形成氮化硅膜的成膜处理,其特征在于:上述方法,在主阶段和辅助阶段进行上述成膜处理,上述辅助阶段被设定在上述成膜处理的初期和末期中的一方或者双方,上述主阶段,以进行多个主循环,层叠在每个上述主循环中形成的薄膜的方式设定,上述各主循环具备:第一供给工序,对上述处理区域供给上述第一处理气体,另一方面,保持对于上述处理区域的上述第二处理气体的供给的阻断;和第二供给工序,对上述处理区域供给上述第二处理气体,另一方面,保持对于上述处理区域的上述第一处理气体的供给的阻断;并且上述主阶段的上述第二供给工序具有利用上述激励机构将上述第二处理气体在已被激励的状态下供给到上述处理区域的激励期间,上述辅助阶段具备:对上述处理区域供给上述第一处理气体的工序;和对上述处理区域供给上述第二处理气体的工序,并以不利用上述激励机构激励上述第二处理气体的方式设定。
本发明的第二观点在于提供一种半导体处理用的成膜装置,其特征在于,具备:具有收纳被处理基板的处理区域的处理容器;在上述处理区域内支承上述被处理基板的支承部件;加热上述处理区域内的上述被处理基板的加热器;对上述处理区域内进行排气的排气系统;对上述处理区域供给包含硅烷类气体的第一处理气体的第一处理系统供给系统;对上述处理区域供给包含氮化气体的第二处理气体的第二处理气体供给系统;供给上述第二处理气体时用于激励的激励机构;和控制上述装置的动作的控制部,上述控制部在上述处理区域内实施半导体处理用的成膜方法,上述半导体处理用的成膜方法进行在被处理基板上形成氮化硅膜的成膜处理,上述方法,在主阶段和辅助阶段进行上述成膜处理,上述辅助阶段被设定在上述成膜处理的初期和末期中的一方或者双方;上述主阶段,以进行多个主循环,层叠在每个上述主循环中形成的薄膜的方式设定,上述各主循环具备:第一供给工序,对上述处理区域供给上述第一处理气体,另一方面,保持对于上述处理区域的上述第二处理气体的供给的阻断;和第二供给工序,对上述处理区域供给上述第二处理气体,另一方面,保持对于上述处理区域的上述第一处理气体的供给的阻断;并且上述主阶段的上述第二供给工序具有利用上述激励机构将上述第二处理气体在已被激励的状态下供给到上述处理区域的激励期间,上述辅助阶段具备:对上述处理区域供给上述第一处理气体的工序;和对上述处理区域供给上述第二处理气体的工序,并以不利用上述激励机构激励上述第二处理气体的方式设定。
本发明的第三目的在于提供一种包括用于在处理器中执行的程序指令的能够由计算机读取的介质,其特征在于:在半导体处理用的成膜装置中使用,上述成膜装置能够有选择性地供给包含硅烷类气体的第一处理气体和包含氮化气体的第二处理气体,并且具有与供给上述第二处理气体时用于激励的激励机构连通的处理容器内的处理区域,上述程序指令通过处理器执行时,在上述成膜装置的上述处理区域内,使半导体处理用的成膜方法执行,上述半导体处理用的成膜方法进行在被处理基板上形成氮化硅膜的成膜处理,上述方法,在主阶段和辅助阶段进行上述成膜处理,上述辅助阶段被设定在上述成膜处理的初期和末期中的一方或者双方,上述主阶段,以进行多个主循环,层叠在每个上述主循环中形成的薄膜的方式设定,上述各主循环具备:第一供给工序,对上述处理区域供给上述第一处理气体,另一方面,保持对于上述处理区域的上述第二处理气体的供给的阻断;和第二供给工序,对上述处理区域供给上述第二处理气体,另一方面,保持对于上述处理区域的上述第一处理气体的供给的阻断;并且上述主阶段的上述第二供给工序具有利用上述激励机构将上述第二处理气体在已被激励的状态下供给到上述处理区域的激励期间,上述辅助阶段具备:对上述处理区域供给上述第一处理气体的工序;和对上述处理区域供给上述第二处理气体的工序,并以不利用上述激励机构激励上述第二处理气体的方式设定。
附图说明
图1为表示本发明实施方式的成膜装置(纵型CVD装置)的截面图。
图2为表示图1所示装置的一部份的横截平面图。
图3A为表示本发明实施方式的成膜方法中,供给气体和施加RF(高频)的状态的时序图。
图3B、图3C为表示变形例的成膜方法中,施加RF(高频)的状态的时序图。
图3D为表示其它变形例的成膜方法中,供给气体和施加RF(高频)的状态的时序图。
图4A、图4B为示意性表示在气体激励部内附着的副产物膜的截面图。
具体实施方式
本发明者们在研究本发明的过程中,关于半导体处理中有关形成氮化硅膜的成膜方法的现有技术存在的问题进行了研究。其结果是,本发明者们有了如下所述的认识。
即,如上所述,作为现有技术,存在一种技术:在所谓的ALD或MLD成膜中,在供给氮化气体的NH3气体时,利用高频(RF)生成等离子体促进氮化反应。这样的情况下,与不使用等离子体的情况相比较,能够提高成膜速率(成膜速度)。另外,通过增大堆积的氮化硅膜的应力,能够改善电子或空穴的移动性,大幅度提高氮化硅膜的膜质量。
但是,若生成等离子体,则由于这时形成的氮化硅膜的膜应力增大等原因,在处理容器内产生的微粒增多。尤其在处理容器内的壁面内,在接近等离子体的部分的壁面上产生上述微粒。若容易产生微粒,则处理容器内的清洁频率增多,生产量降低。
关于这一点可以看出,在ALD或MLD成膜中,在供给氮化气体时生成等离子体的主阶段之前或之后进行供给氮化气体时不生成等离子体的辅助阶段,由此,能够抑制微粒的产生。即,在这样的情况下,通过适当设定辅助阶段,能够抑制微粒的产生,而且能够以高成膜速率形成膜质量良好的氮化硅膜。
下面,参照附图对基于上述认识而构成的本发明实施方式进行说明。而且,在下述说明中,具有大致相同功能和构成的构成要素标注相同符号,仅在必要的情况下进行重复说明。
图1为表示本发明实施方式的成膜装置(纵型CVD装置)的截面图。图2为表示图1所示的装置的一部分的横截平面图。该成膜装置2具备可选择性地供给第1处理气体和第二处理气体的处理领域,其中,上述第一处理气体含有硅烷类气体的二氯硅烷(DCS)气体,上述第二处理气体含有作为氮化气体的氨气(NH3)。成膜装置2,以在上述处理领域内,在被处理基板上形成氮化硅膜的方式构成。
成膜装置2具有下端开口的有顶的圆筒状处理容器4,在该处理容器4内部规定处理领域5,在该处理领域5收纳处理隔开间隔堆积的多个半导体晶片(被处理基板)。处理容器4整体由例如石英形成。石英制顶板6配设并密封于处理容器4内的顶部。形成为圆筒体状的总管8经O型环等密封部件10与处理容器4的下端开口连接。而且,也能够不另外设置总管8,由圆筒体状石英制处理容器构成整体。
总管8例如由不锈钢形成,支承处理容器4的下端。通过总管8的下端开口,使石英制晶舟12升降,从而,相对于处理容器4装载/卸载晶舟12。在晶舟12中,多层地载置作为被处理基板的多枚半导体晶片W。例如,在本实施方式中,在晶舟12的支柱12A能够大致以相同间距多层地支承直径大约为300mm的例如大约50~100枚的晶片W。
晶舟12经石英制保温筒14载置在台16上。台16例如被支承在开闭总管8的下端开口的贯通例如由不锈钢制的盖体18的旋转轴20上。
在旋转轴20的贯通部设置有例如磁性流体密封件22,将旋转轴20气密地密封而且可旋转地支承旋转轴20。在盖体18的周边部和总管8的下端部之间设置有由例如O型环等构成的密封部件24,保持容器内的密封性。
在由例如晶舟升降机等升降机构25支承的臂26的前端安装有旋转轴20。通过升降机构25使晶舟12和盖体18等整体升降。另外,将工作台16固定设置到盖体18一侧,可以不使晶舟12转动进行晶片W的处理。
用于向处理容器4内的处理领域5供给规定处理气体的气体供给部连接在总管8的侧部。气体供给部包括:第二处理气体供给系统28、第一处理气体供给系统30和清扫气体供给系统36。第一处理气体供给系统30供给包括硅烷类气体即DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统28供给包括氮化气体即氨气(NH3)的第二处理气体。清扫气体供给系统36供给作为清扫气体的非活泼性气体,例如N2气体。根据需要,在第一和第二处理气体中混合适量的输运气体,下面,为了便于说明,不再提及输运气体。
具体地说,第二和第一处理气体供给系统28、30分别具有向内侧贯通总管8的侧壁并朝上方弯曲延伸的由石英管构成的气体分散喷嘴38、40(参照图1)。在各气体分散喷嘴38、40中沿着其长度方向(上下方向)并且以遍及晶舟12上的所有晶片W的方式以规定的间隔形成有多个气体喷射孔38A、40A。气体喷射孔38A、40A以相对于晶舟12上的多个晶片W形成平行的气体流的方式分别沿着水平方向供给对应的处理气体。另一方面,清扫气体供给系统36具有贯通总管8的侧壁而设置的短的气体喷嘴46。
喷嘴38、40、46经气体供给管路(气体通路)48、50、56,分别连接在NH3气体、DCS气体和N2气体的气体源28S、30S、36S。在气体供给管路48、50、56上配设有开闭阀48A、50A、56A和质量流量控制器那样的流量控制器48B、50B、56B。由此,分别控制NH3气体、DCS气体以及N2气体的流量的同时进行供给。
在处理容器4的侧壁的局部,沿着其高度方向配置有气体激励部66。在与气体激励部66相对的处理容器4的相反侧,配置有用于对该内部气氛进行真空排气,将处理容器4的侧壁沿着例如上下方向削去而形成的细长的排气口68。
具体地说,气体激励部66具有将处理容器4的侧壁沿着例如上下方向削去规定的宽度而形成的上下细长的开口70。开口70由石英制盖(等离子体生成箱)72覆盖,该盖72与处理容器4的外壁气密地焊接接合。盖72以向处理容器4的外侧突出的方式呈截面凹部状,且具有上下细长的形状。
通过该结构,形成从处理容器4的侧壁突出并且一侧向处理容器4内开口的气体激励部66。即,气体激励部66的内部空间与处理容器4内的处理区域5连通。开口70沿上下方向足够长地形成,使得能够在高度方向上覆盖保持在晶舟12中的所有晶片W。
在盖72的两侧壁的外侧面,沿着其长度方向(上下方向)以相互相对的方式配置有细长的一对电极74。等离子体生成用的高频电源76经供电线78连接在电极74。通过对电极74施加例如13.56MHz的高频电压,由此在一对电极74之间形成用于激励等离子体的高频电场。另外,高频电压的频率不限于13.56MHz,也可以使用其它频率,例如400kHz。
第二处理气体的气体分散喷嘴38在晶舟12上的最下级晶片W的下方位置,向处理容器4的半径方向外方弯曲。然后,气体分散喷嘴38在气体激励部66内的最里面(距离处理容器4中心最远的部分)位置垂直立起。如图2所示,气体分散喷嘴38设置在由一对相对电极74夹着的区域(高频电场最强的位置),即比实际生成主要等离子体的等离子体生成领域PS向外侧远离的位置。包括从气体分散喷嘴38的气体喷射孔38A喷射的包含NH3气体的第二处理气体朝等离子体生成区域PS喷射,在此有选择地被激励(分解或者活化),并在该状态供给到晶舟12上的晶片W。
在盖72外侧,以覆盖盖72的方式安装有例如由石英构成的绝缘保护盖80。在绝缘保护盖80的内侧即与电极74相对的部分,配置有由冷却介质通路形成的冷却机构(未图示)。在冷却介质通路中,通过流通冷却介质例如冷却后的氮气,从而使电极74冷却。另外,在绝缘保护盖80的外侧配置有防护板(未图示),该防护板覆盖该绝缘保护盖并防止高频泄漏。
使第一处理气体的气体分散喷嘴40垂直立起配置在气体激励部66的开口70的外侧附近、即开口70的外侧(处理容器4内)的一侧。从在气体分散喷嘴40中形成的气体喷射孔40A向处理容器4的中心方向喷射包括DCS气体的第一处理气体。
另一方面,由石英形成的截面呈コ字状的排气口盖部件82通过焊接安装在与气体激励部66相对而设置的排气口68上,并覆盖该排气口68。排气口盖部件82沿着处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口84。该气体出口84连接有配置有真空泵等的真空排气系统GE。
以包围处理容器4的方式配置加热处理容器4内的气氛和晶片W的加热器86。在处理容器4内的排气口68附近配置用于控制加热器86的热电偶(未图示)。
另外,成膜装置2具备由控制装置整体的操作的计算机等构成的主控制部60。主控制部60按照在附带于主控制部60的存储部62中预先存储的处理方案,根据例如形成的膜的膜厚或组成等的条件进行下述的成膜处理。在该存储部62中还预先存储有处理气体流量与膜的膜厚或组成的关系作为控制数据。因此,主控制部60能够基于上述存储的处理方案或控制数据控制升降机构25、气体供给系统28、30、36、排气系统GE、气体激励部66和加热器86等。此外,存储介质为例如磁盘(软盘、硬盘(例如,存储部62中包括的硬盘)等)、光盘(CD、DVD等)、磁光盘(Magnetic Optical:MO等)和半导体存储器等。
接着,对使用图1所示装置进行的成膜方法(所谓的ALD或MLD成膜)进行说明。在该成膜方法中,通过ALD或MLD在半导体晶片W上形成氮化硅膜。因此,向收纳晶片W的处理区域5内有选择地供给含有硅烷类气体即二氯硅烷(DCS)气体的第一处理气体,和含有氮化气体即氨气(NH3)的第二处理气体。具体地说,通过下述操作进行成膜处理。
(成膜处理)
首先,将保持有多枚、例如50~100枚的300mm尺寸的晶片W的常温的晶舟12装载到设定为规定温度的处理容器4内,密封处理容器4。接着,将处理容器4内抽真空,维持在规定的处理压力,并且使晶片温度上升,待机直至稳定为成膜用的处理温度为止。然后,使晶舟12旋转,并且分别控制第一和第二处理气体的流量的同时间断性地从气体分散喷嘴40、38供给第一和第二处理气体。
包含DCS气体的第一处理气体从气体分散喷嘴40的气体喷射孔40A以形成平行的气体流的方式供给到晶舟12上的多个晶片W。其间,DCS气体通过处理区域5的加热温度被活化,DCS气体的分子、或者通过上述分解生成的分解生成物的分子或原子附着到晶片上。
另一方面,包含NH3气体的第二处理气体从气体分散喷嘴38的气体喷射孔38A以形成平行的气体流的方式供给到晶舟12上的多个晶片W。供给第二处理气体时,如下所述,对应于成膜处理的主阶段和辅助阶段,将气体激励部66设定为ON状态或OFF状态。
将气体激励部66设定为ON状态时,第二处理气体通过一对电极74之间的等离子体生成区域PS时被激励一部分被等离子体化。这时,生成例如N*、NH*、NH2 *、NH3 *等自由基(活性基)(符号“*”表示自由基)。将气体激励部66设定为OFF状态时,第二处理气体的NH3主要保持为气体分子的状态通过气体激励部66。这些自由基或气体分子从气体激励部66的开口70朝处理容器4的中心流出,在晶片W相互之间以层流状态被供给。
来自通过等离子体激励的NH3气体的自由基、或者通过处理区域5的加热温度被活化的NH3气体分子、或者由它们的分解产生的分解生成物的分子或原子,与附着在晶片W的表面的DCS气体分子等反应,从而在晶片W上形成薄膜。而且,与此相反,当在晶片W表面附着有来自NH3气体的自由基、分解生成物的分子或原子时,在流过DCS气体的情况下,同样发生反应,在晶片W上形成氮化硅膜。而且,若将气体激励部66设定为ON状态,则在促进反应的状态下进行成膜;若将气体激励部66设定为OFF状态,则在反应速度慢的状态下进行成膜。
图3A为表示本发明实施方式的成膜方法中,供给气体和施加RF(高频)的状态的时序图。而且,图3A表示对1批晶片进行成膜处理的整个过程的状态。如图3A所示,在该实施方式的成膜方法中,成膜处理由初期的规定阶段(辅助阶段)L1和其后的阶段(主阶段)构成。主阶段由通过气体激励部66激励包含NH3气体的第二处理气体的循环构成。辅助阶段由不利用气体激励部66激励第二处理气体的循环构成。主阶段和辅助阶段的各循环构成第一~第四工序T1~T4。即,反复操作多次由第一工序~第四工序T1~T4构成的循环,堆积在每个循环形成的氮化硅的薄膜,从而,获得最终厚度的氮化硅膜。
具体地说,在第一工序T1中,对处理区域5供给第一处理气体(在图3A中,表示为DCS),另一方面,维持阻断对处理区域5供给第二处理气体(在图3A中,表示为NH3)。在第二工序T2中,维持阻断对处理区域5供给第一和第二处理气体。在第三工序T3中,对处理区域5供给第二处理气体,另一方面,维持阻断对处理区域5供给第一处理气体。在第四工序T4中,维持阻断对处理区域5供给第一以及第二处理气体。
将第二和第四工序T2、T4作为排除处理容器4内的残留气体的清扫工序使用。在此,清扫的意思是,流通N2等非活泼性气体对处理容器4内进行真空排气,或者维持阻断供给所有气体对处理容器4内进行真空排气,从而除去处理容器4内的残留气体。另外,也可以在第二和第四工序T2、T4的前半段只进行真空排气,在后半段同时进行真空排气和供给非活泼性气体。而且,在第一和第三工序T1、T3中,能够在供给第一和第二处理气体时,停止对处理容器4内的真空排气。但是,当对处理容器4内进行真空排气的同时供给第一和第二处理气体时,能够在整个第一~第四工序T1~T4中持续对处理容器4内进行真空排气。
在主阶段的第三工序T3中,通过将RF电源76设定为ON状态并由气体激励部66使第二处理气体等离子体化,从而在已将第二处理气体激励的状态下将其供给到处理区域5。在辅助阶段的第三工序T3中,将RF电源76设定为OFF状态并且不通过气体激励部66使第二处理气体等离子体化,而将该第二处理气体供给到处理区域5。但是,通过加热器86对处理区域5设定加热温度在主阶段和辅助阶段中相同,实质上不会对应阶段而变化。
在图3A中,第一工序T1设定为大约2~10秒,第二工序T2设定为大约5~15秒,第三工序T3设定为大约10~20秒,第四工序T4设定为大约5~15秒。另外,作为主阶段和辅助阶段的平均值,通过第一~第四工序T1~T4的1个循环形成的膜厚为0.10~0.13nm左右。因此,若目标膜厚为例如50nm,则反复实施该循环450~500次左右。但是,上述时间和厚度只表示了一种例子,并不限于该数值。
另外,在主阶段的第三工序T3中,能够改变在供给NH3气体期间的RF电源的ON状态。例如,在一个变形例中的第三工序T3中,在实施过程中将RF电源76设定为ON,从而仅在子工序T3b的期间将第二处理气体在已激励的状态下供给到处理区域5。即,这时,经过规定时间Δt后,将RF电源76设定为ON,由气体激励部66使第二处理气体等离子体化,从而仅在子工序T3b期间将第二处理气体在已激励的状态下供给到处理区域5。该规定时间Δt为NH3气体的流量达到稳定为止的时间,为例如5秒左右。如上所述,在第二处理气体的流量稳定之后,将RF电源设定为ON生成等离子体,从而能够提高晶片W晶面间方向(高度方向)的活性种的浓度均匀性。
上述成膜处理的处理条件如下所述。DCS气体的流量在50~2000sccm的范围内,例如为1000sccm(1s1m)。NH3气体的流量在500~5000sccm的范围内,例如为1000sccm。处理温度低于一般的CVD处理温度,具体在200~700℃的范围内,优选为300~700℃,更为优选的是在450~630℃的范围内。若处理温度低于200℃,则不会发生反应几乎不会堆积膜。若处理温度高于700℃,则通过CVD法形成膜质差的堆积膜,并且会对已经形成的金属膜等造成热损伤。而且,在主阶段和辅助阶段中,有可能因为等离子体的有无而处理区域5的温度有一些改变,但是,通过加热器86对处理区域5设定的加热温度在主阶段和辅助阶段实质上相同。
处理压力在13Pa(0.1Torr)~13300Pa(100Torr)的范围内,优选的是在40Pa(0.3Torr)~266Pa(2Torr)的范围内,更加优选的是在93Pa(0.7Torr)~107Pa(0.8Torr)的范围内。例如,处理压力在第一工序(供给DCS的工序)T1中为1Torr,在第三工序(供给NH3的工序)T3中为0.3Torr。当处理压力小于13Pa时,成膜速率为实用水平以下。当处理压力在13300Pa以下时,相对于晶片W的反应中主要为吸附反应,因此,能够以较快的成膜速率稳定地堆积膜质良好的薄膜,获得理想的结果。但是,若处理压力大于13300Pa时,则反应方式从吸附反应向气相反应转变,气相反应变为主要反应。其结果是,不仅降低膜的面间和面内均匀性,而且,由气相反应导致微粒急剧增多,因此并不优选。
若利用上述成膜方法,则在成膜处理初期的辅助阶段L1中,供给NH3气时不产生等离子体,因此,形成基于无等离子体的热分解的SiN膜。然后,在其后的主阶段中,采用等离子体形成SiN膜。而且,在图3A中,构成辅助阶段的循环数为3循环,只要该循环数为1以上,并没有特别限制,实际上循环数可以更多。
通过成膜处理,在处理容器4的内壁表面,尤其在气体激励部66的盖72表面堆积以SiN为主要成分的副产物膜。如上所述,该副产物膜内,在成膜处理的主要阶段中采用等离子体形成的副产物膜为容易产生微粒的部分。但是,在对下一批晶片进行成膜处理的初期的辅助阶段L1,该容易产生微粒的副产物膜重新由通过无等离子体形成的副产物膜覆盖。因此,能够抑制来自在使用等离子体形成的副产物膜的微粒的产生。换句话说,使用等离子体形成的SiN副产物膜作为应力大的薄膜形成,因此容易发生剥离。但是,由通过上述无等离子体的热分解形成的SiN副产物膜(膜应力小,因此难以剥离)覆盖该膜,从而,能够大幅度抑制微粒的产生。而且,该方法不仅在对晶片进行成膜处理时可以使用,形成在处理容器内面形成薄膜的预覆层时也可以使用。
图4A、图4B为示意性表示在气体激励部66内附着的副产物膜的截面图。在图4中,每次对一批晶片进行成膜处理时,通过热分解形成的SiN膜(即,热SiN副产物膜100)和使用等离子体形成的SiN膜(即,等离子体SiN副产物膜102)分别形成一层,交替堆积在气体激励部66的盖72的内面。图4A表示完成第三批成膜处理后的状态。而且,实际上,在处理容器4的清洁处理之后,在没有收纳晶片的状态下流通成膜气体,进行形成薄膜的预覆层处理,但在此,省略说明预覆层膜。
在上述状态中,如上所述地进行第四批成膜处理时,则如图4B所示,最初,通过无等离子体的热分解形成热SiN副产物膜100A。然后,使用等离子体,在其上面形成等离子体SiN副产物膜102A。
即,因膜应力大而剥离成为的容易产生微粒的状态的下侧等离子体SiN副产物膜102由膜应力小的热SiN副产物膜100A覆盖。从而,能够大幅抑制来自等离子体SiN副产物膜102的微粒的产生。
在辅助阶段L1中,在晶片W上形成的SiN薄膜的厚度设定为0.1nm以上。从而,热SiN副产物膜100的厚度也形成为0.1nm以上。若该膜厚小于0.1nm,则不能够完全覆盖下侧的整个等离子体SiN副产物膜102,抑制微粒的效果变差。
另外,在辅助阶段L1中,在晶片W上形成的SiN薄膜的厚度,设定为在成膜处理中形成的SiN成品膜的总膜厚、即热SiN膜与等离子体SiN膜的总膜厚的20%以下。由此,热SiN副产物膜100的厚度能够成为热SiN副产物膜100与等离子体SiN副产物膜102的总膜厚的20%以下。若该膜厚大于总膜厚的20%,则从在晶片W上形成的SiN成品膜的膜质来看等离子体SiN膜的特性减弱,受到基于热SiN膜特性的不良影响。
通过上述成膜方法,能够抑制微粒的产生的机构依赖于副产物膜中的应力的大小。实际上测量膜的应力时,不使用等离子体的热SiN副产物膜100为0.3GPa左右,与此相对,使用等离子体的等离子体SiN副产物膜102为0.6GPa以上。
若利用上述成膜方法,则能够尽量抑制微粒产生,并且形成的整个氮化硅膜能够具有与完全供给NH3气体时使用等离子体成膜后的氮化硅膜相同的膜质。即,能够使形成的氮化硅膜的介电率非常低,并且能够提高干式蚀刻时的抗蚀刻性。
另外,由于能够抑制微粒的产生,因此,在该程度上能够减少清洁频率,提高生产量。即,通常,能够大幅度减少1次需要45分钟的干洗处理的频率。
(实验)
为了评价利用上述实施方式抑制微粒的效果,进行SiN膜的成膜处理。在此,采用图1所示的成膜装置2,进行堆积膜厚为500nm的SiN膜的成膜处理(利用全部使用等离子体的ALD法进行成膜处理)。之后,进行上述实施方式的成膜处理,在半导体晶片上堆积50nm的SiN膜。
采用上述实施方式的成膜处理进行2次实验。在第一次实验中,形成3.5nm厚的初期的热SiN膜(RF的施加相当于60个循环),剩余的46.5nm的厚度以等离子体ALD-SiN膜形成。在第二次实验中,形成5nm厚的初期的热SiN膜(RF的施加相当于70个循环),剩余的40nm的厚度以等离子体ALD-SiN膜形成。
其结果是,可以确认,在上述2个实验中都能够大幅度抑制微粒产生。另外,可以确认,能够将在半导体晶片的表面堆积的SiN膜的膜质特性保持为较高。
(变形例)
在上述实施方式中,关于RF电力的施加,如图3A所示,仅在成膜处理的初期的辅助阶段L1时,在供给氮化气体的工序中不激起等离子体。图3B、图3C为表示变形例的成膜方法中施加RF(高频)的状态的时序图。
图3B的变形例中,在成膜处理末期的规定阶段(辅助阶段)L2中,在供给氮化气体的工序中不激起等离子体。而且,该阶段L2与之前阶段L1的时间相同。这时,由在成膜处理末期形成的热SiN副产物膜100覆盖等离子体SiN副产物膜102。因此,在该情况下,能够发挥与上述实施方式相同的作用效果。
在图3C的变形例中,在成膜处理初期的规定阶段(辅助阶段)L1和末期的规定阶段(辅助阶段)L2两者中,在供给氮化气体的工序中不激起等离子体。在该情况下,也能够发挥与上述实施方式相同的作用效果。
在上述实施方式和变形例中,成膜处理的初期和末期的辅助阶段以交替供给硅烷类气体和氮化气体的方式构成。图3D为表示其它变形例的成膜方法中供给气体和施加RF(高频)的状态的时序图。在图3D的变形例中,在辅助阶段L1构成为同时供给硅烷类气体和氮化气体,利用普通的热CVD(无等离子体)法进行成膜。如上所述地实施辅助阶段以外的主阶段的成膜处理。在该情况下,能够发挥与参照图3A说明的成膜方法相同的效果。
在上述实施方式中,作为第一处理气体中的硅烷类气体,举例说明了DCS气体。对此,作为硅烷类气体,能够使用选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氮烷(HMDS)、四氯化硅(TCS)、二硅烷胺(DSA)、三硅烷胺(TSA)、双叔丁基氨基硅烷(BTBAS)、三甲基硅烷(TMS)、二甲基硅烷(DMS)、一甲胺(MMA)、三(二甲基氨基)硅烷(3DMAS)构成的组中的1种以上的气体。
在上述实施方式中,作为第二处理气体中的氮化气体,举例说明了NH3气体。对此,作为氮化气体,能够使用选自氨气(NH3)、氮气(N2)、一氧化二氮(N2O)、一氧化氮(NO)构成的组中的1种以上的气体。
能够在通过上述实施方式形成的氮化硅膜中添加硼(B)以及/或者碳(C)等成分。在该情况下,成膜处理的各个循环还具备供给掺杂气体以及/或者烃类气体的1个以上的工序。作为用于掺杂硼的含有硼的气体,可以使用选自BCl3、B2H6、BF3、B(CH3)3构成的组中的1种以上的气体。作为用于添加碳的烃类气体,可以使用选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷构成的组中的1种以上的气体。
作为被处理基板,不限于半导体晶片,也能够为LCD基板、玻璃基板等其它基板。

Claims (18)

1.一种半导体处理用的成膜方法,其能够有选择性地供给包含硅烷类气体的第一处理气体和包含氮化气体的第二处理气体,并且在与供给上述第二处理气体时用于激励的激励机构连通的处理容器的处理区域内,进行在被处理基板上形成氮化硅膜的成膜处理,其特征在于:
所述方法,在主阶段和辅助阶段进行所述成膜处理形成氮化硅膜,所述辅助阶段被设定在所述成膜处理的初期和末期中的一方或者双方,
所述主阶段,以进行多个主循环,层叠在每个所述主循环中形成的薄膜的方式设定,各所述主循环具备:
第一供给工序,对所述处理区域供给所述第一处理气体,另一方面,保持对于所述处理区域的所述第二处理气体的供给的阻断;和
第二供给工序,对所述处理区域供给所述第二处理气体,另一方面,保持对于所述处理区域的所述第一处理气体的供给的阻断;并且所述主阶段的所述第二供给工序具有利用所述激励机构将所述第二处理气体在已被激励的状态下供给到所述处理区域的激励期间,
所述辅助阶段具备:对所述处理区域供给所述第一处理气体的工序;和对所述处理区域供给所述第二处理气体的工序,并以不利用所述激励机构激励所述第二处理气体的方式设定。
2.如权利要求1所述的方法,其特征在于:
所述辅助阶段,以进行辅助循环的方式设定,所述辅助循环具备:
第一供给工序,进行对于所述处理区域的所述第一处理气体的供给,另一方面,保持对于所述处理区域的所述第二处理气体的供给的阻断;
第二供给工序,进行对于所述处理区域的所述第二处理气体的供给,另一方面,保持对于所述处理区域的所述第一处理气体的供给的阻断;并且所述辅助阶段的所述第二供给工序不具有利用所述激励机构激励所述第二处理气体的期间。
3.如权利要求2所述的方法,其特征在于:
所述辅助阶段,以进行多个辅助循环,层叠在每个所述辅助循环中形成的薄膜的方式设定。
4.如权利要求3所述的方法,其特征在于:
所述主阶段的主循环数大于所述辅助阶段的辅助循环数。
5.如权利要求1所述的方法,其特征在于:
所述辅助阶段,以由此形成的所述氮化硅膜的部分的厚度为0.1nm以上的方式设定。
6.如权利要求1所述的方法,其特征在于:
所述辅助阶段,以由此形成的所述氮化硅膜的部分的厚度为所述氮化硅膜整个膜厚的20%以下的方式设定。
7.如权利要求1所述的方法,其特征在于:
在所述主阶段的所述第一和第二供给工序中,所述处理区域的温度设定为200℃~700℃的范围内的温度。
8.如权利要求1所述的方法,其特征在于:
所述主阶段和所述辅助阶段,对于所述处理区域的设定加热温度实质上相同。
9.如权利要求1所述的方法,其特征在于:
在所述主阶段的所述第一和第二供给工序中,所述处理区域的压力设定为13Pa~13300Pa范围内的压力。
10.如权利要求1所述的方法,其特征在于:
各所述主循环还具有第一和第二插入工序,其分别在所述第一和第二供给工序之间和所述第二供给工序之后,保持对所述处理区域的第一和第二处理气体的供给的阻断,并且对所述处理区域进行排气。
11.如权利要求10所述的方法,其特征在于:
各所述主循环,以遍及所述第一供给工序、所述第一插入工序、所述第二供给工序和所述第二插入工序,连续地对所述处理区域进行排气的方式构成。
12.如权利要求10所述的方法,其特征在于:
所述第一和第二插入工序具备对所述处理区域供给清扫气体的期间。
13.如权利要求1所述的成膜方法,其特征在于:
所述硅烷类气体包括选自二氯硅烷、六氯乙硅烷、甲硅烷、乙硅烷、六甲基二硅氮烷、四氯化硅、二硅烷胺、三硅烷胺、双叔丁基氨基硅烷、三甲基硅烷、二甲基硅烷、一甲胺、三(二甲基氨基)硅烷构成的组中的1种以上的气体,所述氮化气体包括选自氨气、氮气、一氧化二氮、一氧化氮构成的组中的1种以上的气体。
14.如权利要求13所述的方法,其特征在于:
各所述主循环还具备,供给选自掺杂气体和烃类气体构成的组中的1种以上的气体的工序。
15.如权利要求1所述的方法,其特征在于:
所述处理区域,按照多个被处理基板以相互隔开间隔沿垂直方向层叠的状态收容在支承部件上的方式构成。
16.如权利要求1所述的方法,其特征在于:
所述辅助阶段,以同时对所述处理区域供给所述第一和第二处理气体的方式设定。
17.一种半导体处理用的成膜装置,其特征在于,具备:
具有收纳被处理基板的处理区域的处理容器;
在所述处理区域内支承所述被处理基板的支承部件;
加热所述处理区域内的所述被处理基板的加热器;
对所述处理区域内进行排气的排气系统;
对所述处理区域供给包含硅烷类气体的第一处理气体的第一处理系统供给系统;
对所述处理区域供给包含氮化气体的第二处理气体的第二处理气体供给系统;
供给所述第二处理气体时用于激励的激励机构;和
控制所述装置的动作的控制部,
所述控制部在所述处理区域内实施半导体处理用的成膜方法,所述半导体处理用的成膜方法进行在被处理基板上形成氮化硅膜的成膜处理,
所述方法,在主阶段和辅助阶段进行所述成膜处理形成氮化硅膜,所述辅助阶段被设定在所述成膜处理的初期和末期中的一方或者双方;
所述主阶段,以进行多个主循环,层叠在每个所述主循环中形成的薄膜的方式设定,各所述主循环具备:
第一供给工序,对所述处理区域供给所述第一处理气体,另一方面,保持对于所述处理区域的所述第二处理气体的供给的阻断;和
第二供给工序,对所述处理区域供给所述第二处理气体,另一方面,保持对于所述处理区域的所述第一处理气体的供给的阻断;并且所述主阶段的所述第二供给工序具有利用所述激励机构将所述第二处理气体在已被激励的状态下供给到所述处理区域的激励期间,
所述辅助阶段具备:对所述处理区域供给所述第一处理气体的工序;和对所述处理区域供给所述第二处理气体的工序,并以不利用所述激励机构激励所述第二处理气体的方式设定。
18.如权利要求17所述的装置,其特征在于,
所述辅助阶段,以进行辅助循环的方式设定,所述辅助循环具备:
第一供给工序,进行对于所述处理区域的所述第一处理气体的供给,另一方面,保持对于所述处理区域的所述第二处理气体的供给的阻断;
第二供给工序,进行对于所述处理区域的所述第二处理气体的供给,另一方面,保持对于所述处理区域的所述第一处理气体的供给的阻断;并且所述辅助阶段的所述第二供给工序不具有利用所述激励机构激励所述第二处理气体的期间。
CN2009100002237A 2008-01-12 2009-01-12 半导体处理的成膜方法和装置 Expired - Fee Related CN101481794B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2008005084A JP4935684B2 (ja) 2008-01-12 2008-01-12 成膜方法及び成膜装置
JP2008-005084 2008-01-12
JP2008005084 2008-01-12

Publications (2)

Publication Number Publication Date
CN101481794A CN101481794A (zh) 2009-07-15
CN101481794B true CN101481794B (zh) 2013-03-06

Family

ID=40851024

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009100002237A Expired - Fee Related CN101481794B (zh) 2008-01-12 2009-01-12 半导体处理的成膜方法和装置

Country Status (5)

Country Link
US (1) US8119544B2 (zh)
JP (1) JP4935684B2 (zh)
KR (1) KR101146397B1 (zh)
CN (1) CN101481794B (zh)
TW (1) TWI420596B (zh)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
WO2011021886A2 (en) 2009-08-21 2011-02-24 Samsung Electronics Co., Ltd. Device capable of notifying operation state change thereof through network and communication method of the device
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102844848A (zh) * 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5572447B2 (ja) * 2010-05-25 2014-08-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5625624B2 (ja) * 2010-08-27 2014-11-19 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
JP5807511B2 (ja) 2011-10-27 2015-11-10 東京エレクトロン株式会社 成膜装置及びその運用方法
JP5772508B2 (ja) * 2011-10-27 2015-09-02 東京エレクトロン株式会社 成膜装置及びその運用方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5869923B2 (ja) 2012-03-09 2016-02-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5842750B2 (ja) * 2012-06-29 2016-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6011420B2 (ja) 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6586443B2 (ja) * 2017-10-10 2019-10-02 東京エレクトロン株式会社 被処理体を処理する方法
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR102607181B1 (ko) * 2018-10-05 2023-11-29 삼성전자주식회사 가변 저항 메모리 소자 및 이의 제조 방법
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165916A (en) * 1997-09-12 2000-12-26 Kabushiki Kaisha Toshiba Film-forming method and film-forming apparatus
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
JP4403824B2 (ja) 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4032058B2 (ja) 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP2007281082A (ja) * 2006-04-04 2007-10-25 Tokyo Electron Ltd 成膜方法及び成膜装置並びに記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165916A (en) * 1997-09-12 2000-12-26 Kabushiki Kaisha Toshiba Film-forming method and film-forming apparatus
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6730614B1 (en) * 2002-11-29 2004-05-04 Electronics And Telecommunications Research Institute Method of forming a thin film in a semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP平6-45256A 1994.02.18

Also Published As

Publication number Publication date
JP4935684B2 (ja) 2012-05-23
CN101481794A (zh) 2009-07-15
KR101146397B1 (ko) 2012-05-17
US20090181550A1 (en) 2009-07-16
TW200949946A (en) 2009-12-01
TWI420596B (zh) 2013-12-21
KR20090077873A (ko) 2009-07-16
JP2009170557A (ja) 2009-07-30
US8119544B2 (en) 2012-02-21

Similar Documents

Publication Publication Date Title
CN101481794B (zh) 半导体处理的成膜方法和装置
CN101488452B (zh) 半导体处理用的成膜方法和装置
CN101325160B (zh) 半导体处理用的成膜方法和装置
KR101314002B1 (ko) SiCN막 성막 방법
CN101135046B (zh) 半导体处理用的成膜方法和装置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
CN101005029B (zh) 半导体处理用成膜方法和装置
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
TWI612561B (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
US8257789B2 (en) Film formation method in vertical batch CVD apparatus
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
US20080213479A1 (en) SiCN film formation method and apparatus
CN101381861B (zh) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130306

Termination date: 20190112

CF01 Termination of patent right due to non-payment of annual fee