KR100935257B1 - 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체 - Google Patents

반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체 Download PDF

Info

Publication number
KR100935257B1
KR100935257B1 KR1020060021536A KR20060021536A KR100935257B1 KR 100935257 B1 KR100935257 B1 KR 100935257B1 KR 1020060021536 A KR1020060021536 A KR 1020060021536A KR 20060021536 A KR20060021536 A KR 20060021536A KR 100935257 B1 KR100935257 B1 KR 100935257B1
Authority
KR
South Korea
Prior art keywords
processing
gas
region
processing region
supply
Prior art date
Application number
KR1020060021536A
Other languages
English (en)
Other versions
KR20060097619A (ko
Inventor
파오화 주
가즈히데 하세베
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060097619A publication Critical patent/KR20060097619A/ko
Application granted granted Critical
Publication of KR100935257B1 publication Critical patent/KR100935257B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Abstract

실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 탄화수소 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성한다. 이 성막 방법은 제1 내지 제4 공정을 교대로 구비한다. 제1 공정에서는 처리 영역에 대한 제1 및 제3 처리 가스의 공급을 행하는 한편, 처리 영역에 대한 제2 처리 가스의 공급을 정지한다. 제2 공정에서는 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지한다. 제3 공정에서는 처리 영역에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역에 대한 제1 및 제3 처리 가스의 공급을 정지한다. 제4 공정에서는 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지한다.
처리 용기, 매니폴드, 덮개체, 회전축, 승강 기구, 아암

Description

반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체{FILM FORMATION METHOD AND APPARATUS FOR SEMICONDUCTOR PROCESS, AND COMPUTER READABLE MEDIUM}
도1은 본 발명의 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도.
도2는 도1에 도시한 장치의 일부를 도시하는 횡단 평면도.
도3은 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트.
도4는 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트.
도5는 본 발명의 제3 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트.
도6은 본 발명의 제4 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트.
도7은 제4 실시 형태에 따라서 형성되는 박막의 적층 구조의 일예를 나타내는 단면도.
도8은 제1 내지 제3 실시 형태에 각각에 관한 3개의 실시예(PE1, PE2, PE3)의 박막의 에칭률의 평가 결과를 나타내는 그래프.
도9는 주 제어부의 구성의 개략을 나타내는 블럭도.
<도면의 주요 부분에 대한 부호의 설명>
4 : 처리 용기
6 : 천정판
8 : 매니폴드
16 : 테이블
18 : 덮개체
20 : 회전축
25 : 승강 기구
26 : 아암
28 : 제2 처리 가스 공급계
30 : 제1 처리 가스 공급계
32 : 제4 처리 가스 공급계
34 : 제3 처리 가스 공급계
36 : 퍼지 가스 공급계
60 : 주 제어부
210 : CPU
212 : 기억부
214 : 입력부
216 : 출력부
[문헌 1] 일본 특허 공개 평6-34974호 공보
[문헌 2]일본 특허 공개 평6-45256호 공보
[문헌 3] 일본 특허 공개 평11-87341호 공보
본 발명은 반도체 웨이퍼 등의 피처리 기판 상에 절연막을 형성하는 반도체 처리용 성막 방법 및 장치에 관한 것이다. 여기서, 반도체 처리라 함은, 웨이퍼나 LCD(Liquid Crystal Display)나 FPD(Flat ㎩nel Display)용 글래스 기판 등의 피처리 기판 상에 반도체층, 절연층, 도전층 등을 소정의 패턴으로 형성함으로써, 상기 피처리 기판 상에 반도체 디바이스나, 반도체 디바이스에 접속되는 배선, 전극 등을 포함하는 구조물을 제조하기 위해 실시되는 다양한 처리를 의미한다.
반도체 집적 회로를 구성하는 반도체 디바이스의 제조에 있어서는, 피처리 기판, 예를 들어 반도체 웨이퍼에 성막, 에칭, 산화, 확산, 개질, 어닐링, 자연 산화막의 제거 등의 각종 처리가 실시된다. 일본 특허 공개 평6-34974호 공보는 종형의[소위 배취(batch)식의] 열처리 장치에 있어서의 이러한 종류의 반도체 처리 방법을 개시한다. 이 방법에서는, 우선 반도체 웨이퍼가 웨이퍼 카세트로부터 종형의 웨이퍼 보트 상에 이동 적재되어 다단으로 지지된다. 웨이퍼 카세트에는 예를 들어 25매의 웨이퍼를 수용할 수 있고, 웨이퍼 보트에는 30 내지 150매의 웨이 퍼를 적재할 수 있다. 다음에, 웨이퍼 보트가 처리 용기의 하방으로부터 그 내부로 로드되는 동시에, 처리 용기가 기밀하게 폐쇄된다. 다음에, 처리 가스의 유량, 처리 압력, 처리 온도 등의 각종 처리 조건이 제어된 상태에서 소정의 열처리가 행해진다.
반도체 집적 회로의 특성을 향상시키기 위해, 반도체 디바이스의 절연막의 특성을 향상시키는 것이 중요하다. 반도체 디바이스 중 절연막으로서, SiO2, PSG(Phospho Silicate Glass), P(플라즈마 CVD로 형성되었음)-SiO, P(플라즈마 CVD로 형성되었음)-SiN, SOG(Spin On Glass), Si3N4(실리콘질화막) 등이 사용된다. 특히 실리콘질화막은 절연 특성이 실리콘산화막보다 비교적 양호한 것, 및 에칭 스토퍼막이나 층간 절연막으로서도 충분히 기능하므로 다용되는 경향이 있다. 또한 동일한 이유로 붕소가 도핑된 질화탄소막도 자주 이용된다.
반도체 웨이퍼의 표면에 상술한 바와 같은 실리콘질화막을 형성하는 방법으로서, 실리콘 소스 가스로서 모노실란(SiH4), 디클로로실란(DCS : SiH2Cl2), 헥사클로로디실란(HCD : Si2Cl6), 비스터셜부틸아미노실란(BTBAS : SiH2(NH(C4H9))2 등의 실란계 가스를 이용하여, 열 CVD(Chemical Vapor Deposition)에 의해 성막하는 방법이 알려져 있다. 예를 들어, SiH2Cl2 + NH3(일본 특허 공개 평6-34974호 공보 참조) 혹은 Si2Cl6 + NH3 등의 가스의 조합으로 열 CVD에 의해 실리콘질화막을 형성한다. 또한, 유전율을 작게 하기 위해 실리콘질화막에 불순물로서 예를 들어 붕소 (B)를 첨가하는 방법도 제안되어 있다(일본 특허 공개 평2-93071호 공보 참조).
최근, 반도체 집적 회로의 더욱 고집적화 및 고미세화의 요구에 수반하여, 반도체 디바이스의 제조 공정에 있어서의 열 이력을 경감시키고, 디바이스의 특성을 향상시키는 것이 요구되고 있다. 종형의 처리 장치에 있어서도, 이러한 요구에 따른 반도체 처리 방법의 개량이 이루어지는 것이 요구되고 있다. 예를 들어, 성막 처리의 일종인 CVD(Chemical Vapor Deposition)에 있어서, 원료 가스 등을 간헐적으로 공급하면서, 원자 혹은 분자 레벨의 두께의 층을 1층 혹은 몇 층씩 반복해서 성막하는 방법이 있다(예를 들어, 일본 특허 공개 평6-45256호 공보, 일본 특허 공개 평11-87341호 공보). 이와 같은 성막 방법은 일반적으로는 ALD(Atomic layer Deposition)라 불리우고, 이에 의해 웨이퍼를 그 정도의 고온에 노출시키지 않아도 목적으로 하는 처리를 행하는 것이 가능해진다.
예를 들어, 실란계 가스인 디클로로실란(DCS)과 질화 가스인 NH3를 이용하여 실리콘질화막(SiN)을 형성하는 경우, 이하와 같은 처리가 행해진다. 즉, 처리 용기 내에, DCS와 NH3 가스가 퍼지 기간을 사이에 두고 교대로 간헐적으로 공급된다. NH3 가스를 공급할 때에 RF(고주파)가 인가됨으로써, 처리 용기 내에 플라즈마가 생성되어 질화 반응이 촉진된다. 여기서, 우선 DCS가 처리 용기 내로 공급됨으로써, 웨이퍼 표면 상에 DCS가 분자 레벨로 한 층 혹은 복수층 흡착한다. 여분의 DCS는 퍼지 기간 동안에 배제된다. 다음에, NH3가 공급되어 플라즈마가 생성됨으로써 저온에서의 질화에 의해 실리콘질화막이 형성된다. 이와 같은 일련의 공정이 반복되 어 행해져 소정 두께의 막이 완성된다.
그런데, 상술한 바와 같은 절연막을 형성한 후에, 이 위에 별도의 박막을 형성하는 경우, 상기 절연막의 표면이 유기물이나 파티클 등의 오염물이 부착되어 있을 가능성이 있다. 이로 인해, 필요에 따라서, 이 오염물을 제거할 목적으로 클리닝 처리를 행한다. 이 경우, 반도체 웨이퍼를 희불산 등의 클리닝액에 침지시켜 절연막의 표면을 에칭한다. 이에 의해, 절연막의 표면을 매우 얇게 깎아내어 오염물을 제거한다.
상기 절연막을 예를 들어 760 ℃ 정도의 고온에서 CVD 성막한 경우, 절연막의 클리닝시의 에칭률은 상당히 작아진다. 이로 인해, 클리닝시에 이 절연막이 과도하게 깎아내어지는 일이 없어, 막두께의 제어성이 좋은 상태에서 클리닝 처리를 행할 수 있다. 그러나, 베이스층에 내열성이 낮은 박막이 형성되어 있는 경우에는 고온의 열 CVD 처리는 채용할 수 없다.
이에 대해, 상기 절연막을 예를 들어 400 ℃ 정도의 낮은 온도에서 ALD 성막한 경우, 절연막의 클리닝시의 에칭률은 상당히 커진다. 이로 인해, 클리닝시에 이 절연막이 과도하게 깎아내어지는 경우가 발생하여, 클리닝 처리시의 막두께의 제어성이 떨어지게 된다.
또한, 실리콘질화막은 전술한 바와 같이 에칭 스토퍼막이나 층간 절연막으로서 사용하는 경우도 있다. 이 경우, 실리콘질화막의 에칭률을 충분히 작게 할 필요가 있지만, 종래의 성막 방법에서는 이 요청에 충분히 따를 수는 없다.
본 발명은 비교적 저온에서 성막해도 클리닝시의 에칭률을 비교적 작게 할 수 있어, 클리닝시의 막두께의 제어성을 향상시킬 수 있고, 또한 에칭 스토퍼막이나 층간 절연막으로서도 충분히 기능할 수 있는 절연막을 형성하기 위한 반도체 처리용 방법 및 장치를 제공하는 것을 목적으로 한다. 또, 본 발명은 일본 특허 공개 제2003-282566(2003년 10월 3일)에 개시한 발명의 개량 발명이다.
본 발명의 제1 시점은, 실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 탄화수소 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 방법이며,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비한다.
본 발명의 제2 시점은, 탄화수소 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 붕소 함유 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 방법이며, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비한다.
본 발명의 제3 시점은, 반도체 처리용 성막 장치이며,
피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
상기 처리 영역 내를 배기하는 배기계와,
상기 처리 영역에 실란계 가스를 포함하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와,
상기 처리 영역에 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와,
상기 처리 영역에 탄화수소 가스를 포함하는 제3 처리 가스를 공급하는 제3 처리 가스 공급계와,
상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 상기 피처리 기판 상에 CVD에 의해 절연막을 형성하기 위해,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행한다.
본 발명의 제4 시점은 반도체 처리용 성막 장치이며,
피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
상기 처리 영역 내를 배기하는 배기계와,
상기 처리 영역에 탄화수소 가스를 포함하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와,
상기 처리 영역에 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와,
상기 처리 영역에 붕소 함유 가스를 포함하는 제3 처리 가스를 공급하는 제3 처리 가스 공급계와,
상기 장치의 동작을 제어하는 제어부를 구비하고, 상기 제어부는 상기 피처리 기판 상에 CVD에 의해 절연막을 형성하기 위해,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행한다.
본 발명의 제5 시점은, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터 판독 가능 매체이며,
상기 프로그램 지령은 프로세서에 의해 실행될 때, 실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 탄화수소 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 장치에,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행시킨다.
본 발명의 제6 시점은, 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터 판독 가능 매체이며,
상기 프로그램 지령은 프로세서에 의해 실행될 때, 탄화수소 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 붕소 함유 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 장치에,
상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행시킨다.
본 발명의 부가의 목적 및 장점은 이어지는 상세한 설명에 설명되고, 부분적으로는 상세한 설명으로부터 명백해지거나 본 발명의 실시에 의해 습득될 수 있을 것이다. 본 발명의 목적 및 장점은 특히 이하에 지시된 도구들 및 조합들에 의해 실현되고 얻어질 수 있다.
본 명세서에 합체되어 그 일부를 구성하는 첨부 도면은 본 발명의 바람직한 실시예를 예시하고, 전술된 일반적인 설명 및 후술하는 바람직한 실시예의 상세한 설명과 함께 본 발명의 원리를 설명하는 기능을 한다.
이하에, 본 발명의 실시 형태에 대해 도면을 참조하여 설명한다. 또, 이하의 설명에 있어서, 대략 동일한 기능 및 구성을 갖는 구성 요소에 대해서는, 동일부호를 부여하여, 중복 설명은 필요한 경우에만 행한다.
도1은 본 발명의 실시 형태에 관한 성막 장치(종형 CVD 장치)를 도시하는 단면도이다. 도2는 도1에 도시의 장치의 일부를 도시하는 횡단 평면도이다. 이 성막 장치(2)는 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스와, 붕소 함유 가스인 BCl3 가스를 포함하는 제4 처리 가스를 선택적으로 공급 가능한 처리 영역을 구비한다. 성막 장치(2)는 이와 같은 처리 영역 내에서 피처리 기판 상에 CVD에 의해 탄소를 함유하는 절연막을 형성하도록 구성된다. 또, 이하의 설명에 있어서, 성막 장치(2)에는 상술한 모든 가스의 공급계가 포함되지만, 후술하는 각 실시 형태에서는 이들 가스의 일부를 사용하지 않는 경우도 있다. 또한, 각 실시 형태에 전용 장치를 구축하는 경우에는, 사용하지 않는 가스의 공급계는 생략할 수 있다.
성막 장치(2)는 간격을 두고 적층된 복수의 반도체 웨이퍼(피처리 기판)를 수납하여 처리하는 처리 영역(5)을 내부에 규정하고, 하단부가 개방된 천정이 있는 원통체 형상의 처리 용기(4)를 갖는다. 처리 용기(4)의 전체는 예를 들어 석영에 의해 형성된다. 처리 용기(4) 내의 천정에는 석영으로 된 천정판(6)이 배치되어 밀봉된다. 처리 용기(4)의 하단부 개구에는 원통체 형상으로 성형된 매니폴드(8)가 O링 등의 밀봉 부재(10)를 거쳐서 연결된다. 또, 매니폴드(8)를 별도로 설치하지 않고 전체를 원통체 형상의 석영으로 된 처리 용기로 구성할 수도 있다.
매니폴드(8)는 예를 들어 스테인레스 스틸로 이루어지고, 처리 용기(4)의 하단부를 지지한다. 매니폴드(8)의 하단부 개구를 통해 석영으로 된 웨이퍼 보트(12)가 승강되고, 이에 의해 처리 용기(4)에 대해 웨이퍼 보트(12)가 로드/언로드된다. 웨이퍼 보트(12)에는 피처리 기판으로서, 다수매의 반도체 웨이퍼(W)가 다단으로 적재된다. 예를 들어, 본 실시 형태의 경우에 있어서 웨이퍼 보트(12)의 지지 기둥(12A)에는, 예를 들어 50 내지 100매 정도의 직경이 300 ㎜인 웨이퍼(W)가 대략 등피치로 다단으로 지지 가능하게 된다.
웨이퍼 보트(12)는 석영으로 된 보온통(14)을 거쳐서 테이블(16) 상에 적재된다. 테이블(16)은 매니폴드(8)의 하단부 개구를 개폐하는 예를 들어 스테인레스 스틸로 된 덮개체(18)를 관통하는 회전축(20) 상에 지지된다.
회전축(20)의 관통부에는 예를 들어 자성 유체 밀봉(22)이 개재 설치되어, 회전축(20)을 기밀하게 밀봉하면서 회전 가능하게 지지한다. 덮개체(18)의 주변부와 매니폴드(8)의 하단부에는 예를 들어 O링 등으로 이루어지는 밀봉 부재(24)가 개재 설치되어 용기 내의 밀봉성을 유지한다.
회전축(20)은 예를 들어 보트 엘리베이터 등의 승강 기구(25)에 지지된 아암(26)의 선단부에 부착된다. 승강 기구(25)에 의해 웨이퍼 보트(12) 및 덮개체(18) 등이 일체적으로 승강된다. 또, 테이블(16)을 덮개체(18)측에 고정하여 설치하여, 웨이퍼 보트(12)를 회전시키는 일 없이 웨이퍼(W)의 처리를 행하도록 해도 좋다.
매니폴드(8)의 측부에는 처리 용기(4) 내의 처리 영역(5)에 소정의 처리 가스를 공급하기 위한 가스 공급부가 접속된다. 가스 공급부는 제2 처리 가스 공급계(28), 제1 처리 가스 공급계(30), 제4 처리 가스 공급계(32), 제3 처리 가스 공급계(34), 및 퍼지 가스 공급계(36)를 포함한다. 제1 처리 가스 공급계(30)는 실란계 가스로서 DCS(디클로로실란) 가스를 포함하는 제1 처리 가스를 공급한다. 제2 처리 가스 공급계(28)는 질화 가스로서 암모니아(NH3) 가스를 포함하는 제2 처리 가스를 공급한다. 제3 처리 가스 공급계(34)는 탄화수소 가스로서 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스를 공급한다. 제4 처리 가스 공급계(32)는 붕소 함유 가스(도핑 가스)로서 BCl3 가스를 포함하는 제4 처리 가스를 공급한다. 퍼지 가스 공급계(36)는 퍼지 가스로서 불활성 가스, 예를 들어 N2 가스를 공급한다. 제1 내지 제4 처리 가스에는 필요에 따라서 적당한 양의 캐리어 가스가 혼합되지만, 이하에서는 설명을 용이하게 하기 위해 캐리어 가스에 대해서는 언급하지 않는 다.
구체적으로는, 제2, 제1, 제4, 및 제3 처리 가스 공급계(28, 30, 32, 34)는 매니폴드(8)의 측벽을 내측으로 관통하여 상방향으로 굴곡되어 연장되는 석영관으로 이루어지는 가스 분산 노즐(38, 40, 42, 44)을 각각 갖는다(도1 참조). 각 가스 분산 노즐(38 내지 44)에는 그 길이 방향(상하 방향)을 따라 또한 웨이퍼 보트(12) 상의 웨이퍼(W)의 전체에 걸치도록 복수의 가스 분사 구멍(38A, 40A, 42A, 44A)이 소정의 간격을 두고 형성된다. 가스 분사 구멍(38A, 40 A, 42A, 44A)은 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 수평 방향으로 대략 균일하게 대응하는 처리 가스를 각각 공급한다. 한편, 퍼지 가스 공급계(36)는 매니폴드(8)의 측벽을 관통하여 설치한 짧은 가스 노즐(46)을 갖는다.
노즐(38, 40, 42, 44, 46)은 가스 공급 라인(가스 통로)(48, 50, 52, 54, 56)을 거쳐서 NH3 가스, DCS 가스, BCl3 가스, C2H4 가스, 및 N2 가스의 가스원(28S, 30S, 32S, 34S, 36S)에 각각 접속된다. 가스 공급 라인(48, 50, 52, 54, 56) 상에는 개폐 밸브(48A, 50A, 52A, 54A, 56A)와 매스플로우 컨트롤러와 같은 유량 제어기(48B, 50B, 52B, 54B, 56B)가 배치된다. 이에 의해, NH3 가스, DCS 가스, BCl3 가스, C2H4 가스 및 N2 가스가 각각 유량 제어하면서 공급 가능해진다.
처리 용기(4)의 측벽의 일부에는 그 높이 방향을 따라 가스 여기부(66)가 배치된다. 가스 여기부(66)에 대향하는 처리 용기(4)의 반대측에는 이 내부 분위기를 진공 배기하기 위해 처리 용기(4)의 측벽을, 예를 들어 상하 방향으로 깎아냄으 로써 형성한 가늘고 긴 배기구(68)가 배치된다.
구체적으로는, 가스 여기부(66)는 처리 용기(4)의 측벽을 상하 방향을 따라 소정의 폭으로 깎아냄으로써 형성한 상하로 가늘고 긴 개구(70)를 갖는다. 개구(70)는 처리 용기(4)의 외벽에 기밀하게 용접 접합된 석영으로 된 커버(72)에 의해 덮인다. 커버(72)는 처리 용기(4)의 외측으로 돌출되도록 단면 오목부 형상을 이루고, 또한 상하로 가늘고 긴 형상을 갖는다.
이 구성에 의해, 처리 용기(4)의 측벽으로부터 돌출되고 또한 일측이 처리 용기(4) 내로 개방되는 가스 여기부(66)가 형성된다. 즉, 가스 여기부(66)의 내부공간은 처리 용기(4) 내의 처리 영역(5)에 연통한다. 개구(70)는 웨이퍼 보트(12)에 보유 지지되는 모든 웨이퍼(W)를 높이 방향에 있어서 커버할 수 있도록 상하 방향으로 충분히 길게 형성된다.
커버(72)의 양측벽의 외측면에는 그 길이 방향(상하 방향)을 따라 서로 대향하도록 하여 가늘고 긴 한 쌍의 전극(74)이 배치된다. 전극(74)에는 플라즈마 발생용 고주파 전원(76)이 급전 라인(78)을 거쳐서 접속된다. 전극(74)에 예를 들어 13.56 ㎒의 고주파 전압을 인가함으로써, 한 쌍의 전극(74) 사이에 플라즈마를 여기하기 위한 고주파 전계가 형성된다. 또, 고주파 전압의 주파수는 13.56 ㎒에 한정되지 않고, 다른 주파수 예를 들어 400 ㎑ 등을 이용해도 좋다.
제2 처리 가스의 가스 분산 노즐(38)은 웨이퍼 보트(12) 상의 최하 레벨의 웨이퍼(W)보다도 하부의 위치에서, 처리 용기(4)의 반경 방향 외측으로 굴곡된다. 그 후, 가스 분산 노즐(38)은 가스 여기부(66) 내의 가장 안쪽[처리 용기(4)의 중 심으로부터 가장 떨어진 부분]의 위치에서 수직으로 기립한다. 가스 분산 노즐(38)은 도2에도 도시한 바와 같이 한 쌍의 대향하는 전극(74)에 협지된 영역(고주파 전계가 가장 강한 위치), 즉 주된 플라즈마가 실제로 발생하는 플라즈마 발생 영역(PS)보다도 외측으로 떨어진 위치에 설치된다. 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터 분사된 NH3 가스를 포함하는 제2 처리 가스는 플라즈마 발생 영역(PS)을 향해 분사되고, 여기서 여기(분해 혹은 활성화)되어, 그 상태로 웨이퍼 보트(12) 상의 웨이퍼(W)에 공급된다.
커버(72)의 외측에는 이것을 덮도록 하여 예를 들어 석영으로 이루어지는 절연 보호 커버(80)가 부착된다. 절연 보호 커버(80)의 내측이며 전극(74)과 대향하는 부분에는 냉매 통로로 이루어지는 냉각 기구(도시하지 않음)가 배치된다. 냉매 통로에, 냉매로서 예를 들어 냉각된 질소 가스를 흐르게 함으로써 전극(74)이 냉각된다. 또, 절연 보호 커버(80)의 외측에는 이것을 덮어 고주파의 누설을 막기 위해 실드(도시하지 않음)가 배치된다.
가스 여기부(66)의 개구(70)의 외측 근방, 즉 개구(70)의 외측[처리 용기(4) 내]의 한쪽측에 제1 및 제4 처리 가스의 가스 분산 노즐(40, 42)이 수직으로 기립되어 배치되고, 다른 쪽측에 제3 처리 가스의 가스 분산 노즐(44)이 수직으로 기립되어 배치된다. 가스 분산 노즐(40, 42, 44)에 형성된 가스 분사 구멍(40A, 42A, 44A)으로부터 처리 용기(4)의 중심 방향을 향해 DCS 가스를 포함하는 제1 처리 가스, BCl3 가스를 포함하는 제4 처리 가스, 및 C2H4 가스를 포함하는 제3 처리 가스 가 각각 분사된다.
한편, 가스 여기부(66)에 대향시켜 설치한 배기구(68)에는 이것을 덮도록 하여 석영으로 이루어지는 단면 역ㄷ자 형상으로 성형된 배기구 커버 부재(82)가 용접에 의해 부착된다. 배기 커버 부재(82)는 처리 용기(4)의 측벽을 따라 상방으로 연장되고, 처리 용기(4)의 상방에 가스 출구(84)가 형성된다. 가스 출구(84)에는 진공 펌프 등을 배치한 진공 배기계(GE)가 접속된다.
처리 용기(4)를 둘러싸도록 처리 용기(4) 내의 분위기 및 웨이퍼(W)를 가열하는 히터(86)가 배치된다. 처리 용기(4) 내의 배기구(68) 근방에는 히터(86)를 제어하기 위한 열전대(도시하지 않음)가 배치된다.
또한 성막 장치(2)는 장치 전체의 동작을 제어하는 컴퓨터 등으로 이루어지는 주 제어부(60)를 구비한다. 주 제어부(60)는 이에 부수되는 기억부(212)에 미리 기억된 성막 처리의 처리 레시피, 예를 들어 형성되는 막의 막두께나 조성에 따라서 후술하는 성막 처리를 행한다. 이 기억부(212)에는 또한 처리 가스 유량과 막의 두께나 조성과의 관계가 미리 제어 데이터로서 기억된다. 따라서, 주 제어부(60)는 이들의 기억된 처리 레시피나 제어 데이터를 기초로 하여 승강 기구(25), 가스 공급계(28, 30, 32, 34, 36), 배기계(GE), 가스 여기부(66), 히터(86) 등을 제어할 수 있다.
다음에, 도1에 도시하는 장치를 이용하여 행해지는 성막 방법[이른바 ALD(Atomic Layer Deposition) 성막]에 대해 설명한다.
<제1 실시 형태>
제1 실시 형태에 관한 성막 방법에서는, CVD에 의해 반도체 웨이퍼(W) 상에 SiBCN(boron doped silicon carbon nitride)으로 이루어지는 절연막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스와, 붕소 함유 가스인 BCl3 가스를 포함하는 제4 처리 가스를 선택적으로 공급한다.
우선, 다수매, 예를 들어 50 내지 100매의 300 ㎜ 사이즈의 웨이퍼(W)를 보유 지지한 상온의 웨이퍼 보트(12)를 소정의 온도로 설정된 처리 용기(4) 내로 로드하여 처리 용기(4)를 밀폐한다. 다음에, 처리 용기(8) 내를 진공화하여 소정의 처리 압력으로 유지하는 동시에, 웨이퍼 온도를 상승시켜 성막용 처리 온도로 안정될 때까지 대기한다. 다음에, 웨이퍼 보트(12)를 회전시키면서, 제1 내지 제4 처리 가스를 각각 유량 제어하면서 가스 분산 노즐(40, 38, 44, 42)로부터 간헐적으로 공급한다.
구체적으로는, DCS 가스를 포함하는 제1 처리 가스, C2H4 가스를 포함하는 제3 처리 가스, 및 BCl3 가스를 포함하는 제4 처리 가스는 가스 분산 노즐(40, 44, 42)의 가스 분사 구멍(40A, 44A, 42A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 공급된다. 그 동안에, DCS 가스, C2H4 가스, 및 BCl3 가스의 분자 혹은 그들의 분해에 의해 발생한 분해 생성물의 분자 혹은 원자가 웨이퍼 상에 흡착된다.
한편, NH3 가스를 포함하는 제2 처리 가스는 가스 분산 노즐(38)의 가스 분사 구멍(38A)으로부터 웨이퍼 보트(12) 상의 복수의 웨이퍼(W)에 대해 평행한 가스류를 형성하도록 공급된다. 제2 처리 가스는 한 쌍의 전극(74) 사이의 플라즈마 발생 영역(PS)을 통과할 때에 선택적으로 여기되어 일부가 플라즈마화된다. 이 때, 예를 들어 N*, NH*, NH2*, NH3* 등의 래디컬(활성종)이 생성된다(기호「*」는 래디컬인 것을 나타냄). 이들 래디컬은 가스 여기부(66)의 개구(70)로부터 처리 용기(4)의 중심을 향해 흘러나와, 웨이퍼(W) 상호간에 층류 상태로 공급된다.
상기 래디컬은 웨이퍼(W)의 표면에 부착되어 있는 DCS 가스, C2H4 가스의 분자들과 반응하고, 이에 의해 웨이퍼(W) 상에 박막이 형성된다. 또한, 이 때, BCl3 가스의 분해에 의해 발생한 B 원자가 박막 중에 취입되어, 불순물로서 붕소를 함유하는 SiBCN막이 형성된다. 또, 이와는 반대로, 웨이퍼(W)의 표면에 래디컬이 부착되어 있는 장소에 DCS 가스, C2H4 가스, 및 BCl3 가스가 흘러들어온 경우에도 똑같은 반응이 발생하여 웨이퍼(W) 상에 SiBCN막이 형성된다.
도3은 본 발명의 제1 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트이다. 도3에 도시한 바와 같이 이 실시 형태에 관한 성막 방법에 있어서는, 제1 내지 제4 공정(T1 내지 T4)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T1 내지 T4)으로 이루어지는 사이클을 복수회 반복하여, 사이클마다 형성되는 SiBCN의 박막을 적층함으로써, 최종적인 두께의 SiBCN막을 얻을 수 있다.
구체적으로는, 제1 공정(T1)에서는 처리 영역(5)에 대한 제1 처리 가스(도3에는 DCS로 표시), 제3 처리 가스(도3에서는 C2H4로 표시), 및 제4 처리 가스(도3에서는 BCl3으로 표시)의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 처리 가스(도3에서는 NH3으로 표시)의 공급을 정지한다. 제2 공정(T2)에서는 처리 영역(5)에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지한다. 제3 공정(T3)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1, 제3, 및 제4 처리 가스의 공급을 정지한다. 또한, 제3 공정(T3)에서는 도중부터 RF 전원(76)을 온(ON)하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T3b) 동안만큼 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제4 공정(T4)에서는 처리 영역(5)에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지한다.
제3 공정(T3)에서는 소정의 시간(Δt)이 경과한 후에 RF 전원(76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T3b)만큼만 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 이 소정 시간(Δt)이라 함은, NH3 가스의 유량이 안정될 때까지의 시간으로, 예를 들어 5초 정도이다. 그러나, 제2 처리 가스의 공급 기간의 전체 기간에 걸쳐서 가스 여기부(66)에서 제 2 처리 가스를 플라즈마화해도 좋다. 이와 같이 제2 처리 가스의 유량이 안정화된 후에 RF 전원을 온하여 플라즈마를 일으킴으로써, 웨이퍼(W)의 면간 방향(높이 방향)에 있어서의 활성종의 농도 균일성을 향상시킬 수 있다.
제2 및 제4 공정(T2, T4)은 처리 용기(4) 내에 잔류하는 가스를 배제하는 퍼지 공정으로서 사용된다. 여기서 퍼지라 함은, N2 가스 등의 불활성을 흐르게 하면서 처리 용기(4) 내를 진공 배기하는 것, 혹은 모든 가스의 공급을 정지하여 처리 용기(4) 내를 진공 배기함으로써, 처리 용기(4) 내의 잔류 가스를 제거하는 것을 의미한다. 또한, 제2 및 제4 공정(T2, T4)의 전반은 진공 배기만을 행하고, 후반은 진공 배기와 불활성 공급을 함께 행하도록 해도 좋다. 또, 제1 및 제3 공정(T1, T3)에 있어서, 제1 내지 제4 처리 가스를 공급할 때는 처리 용기(4) 내의 진공 배기를 정지할 수 있다. 그러나, 제1 내지 제4 처리 가스의 공급을 처리 용기(4) 내를 진공 배기하면서 행하는 경우에는, 제1 내지 제4 공정(T1 내지 T4)의 전체에 걸쳐서 처리 용기(4) 내의 진공 배기를 계속시킬 수 있다.
도3에 있어서, 제1 공정(T1)은 약 1 내지 20초, 예를 들어 약 10초, 제2 공정(T2)은 약 5 내지 15초, 예를 들어 약 10초, 제3 공정(T3)은 약 1 내지 30초, 예를 들어 약 20초, 서브 공정(T3b)은 약 1 내지 25초, 예를 들어 약 10초, 제4 공정(T4)은 약 5 내지 15초, 예를 들어 약 10초로 설정된다. 또한, 통상 제1 내지 제4 공정(T1 내지 T4)의 1 사이클에 의해 형성되는 막두께는 0.11 내지 0.13 ㎚ 정도이다. 따라서, 목표 막두께가 예를 들어 70 ㎚이면, 이 사이클 600 정도 반복하게 된다. 단, 이들 시간이나 두께는 단순히 일예를 나타낸 것에 지나지 않고, 이 수치에 한정되지 않는다.
상술한 바와 같이, 제1, 제3, 및 제4 처리 가스를 함께 공급하는 공정(T1)과, NH3 가스를 포함하는 제2 처리 가스를 단독으로 공급하는 동시에 그것을 플라즈마로 여기하는 기간을 포함하는 공정(T3)이 퍼지 공정(T2, T4)을 사이에 두고 교대로 실시된다. 이에 의해, 형성되는 SiBCN막의 유전율을 매우 낮게 할 수 있고, 또한 그 드라이 에칭시의 에칭 내성을 대폭으로 향상시킬 수 있다. 그 이유는 다음과 같이 생각할 수 있다. 즉, 일반적으로는 실리콘질화(SiN)막에 붕소를 첨가하면 에칭 내성은 열화된다. 그러나, 제1 실시 형태와 같이, 제2 처리 가스의 공급시에 플라즈마로 이것을 여기하면, N을 포함하는 래디컬(활성종)의 발생에 의해 막의 질화가 촉진된다. 그 결과, 막 중 Si-H 결합이 감소하여 에칭 내성이 강한 Si-N 결합이 증가한다. 이에 의해, 막의 에칭 내성을 대폭으로 향상시킬 수 있다.
또한, 상술한 바와 같이, 막을 성막할 때에 탄화수소 가스로서 예를 들어 C2H4 가스를 처리 용기(8) 내로 공급함으로써, 실리콘질화막 중에 탄소 성분이 함유된 상태가 된다. 이에 의해, 종래의 성막 온도, 예를 들어 760 ℃ 정도보다도 낮은 온도, 예를 들어 550 ℃에서 성막하였음에도 불구하고, 이 막의 표면의 클리닝 처리시나 에칭 처리시에 이용되는 희불산에 대한 에칭률을 작게 할 수 있다. 그 결과, 클리닝 처리시에 막이 과도하게 깎아내어지는 것을 방지하고, 이 막두께의 제어성을 향상시키는 것이 가능해진다. 또한, 이 막이 에칭 스토퍼막이나 층간 절 연막으로서의 기능도 충분히 다할 수 있게 된다.
또한, 상술한 바와 같이, 처리 가스를 공급하는 제1 및 제2 공정 사이에서 처리 가스를 정지하는 제2 및 제4 공정(T2, T4)은 막을 개질하는 기간으로서 기능한다. 이 기간 직전에서 성막된 SiBCN막의 표면은 이 기간 동안에 개질되어 막질이 향상된다. 이에 의해, SiBCN막의 에칭률을 한층 억제할 수 있다. 이 개질 처리시의 원자 레벨의 작용은 다음과 같이 생각할 수 있다. 즉, 탄소 원자를 함유하는 SiBCN막의 성막시에는 이 박막의 최표면에 DCS 가스 중의 퇴적시에 이탈할 수 없었던 Cl 원자가 활성화 상태에서 결합한다. DCS 가스의 공급이 정지되는 공정(T2, T4)에 있어서, C2H4 가스나 NH3 가스 중 C 원자나 N 원자가 상기 박막 최외측 표면의 Cl 원자로 치환되어 막 중의 Cl 성분이 감소하고, 결과적으로 에칭률이 저하된다.
특히, C2H4 가스를 이용한 경우에는, 막 중에 취입되는 C 원자의 양이 증가하게 되므로 에칭률을 한층 억제하는 것이 가능해진다. 또, 붕소질화막에 탄소를 첨가하면, 넣지 않는 경우와 비교하여 성막률은 20 내지 30 % 정도 상승시킬 수 있다. 이 이유는, 탄소의 첨가에 의해 웨이퍼 표면에 대한 붕소의 흡착이 촉진되기 때문이라 생각된다.
상기 성막 처리의 처리 조건은 다음과 같다. DCS 가스의 유량은 50 내지 2000 sccm의 범위 내, 예를 들어 1000 sccm(1 slm)이다. NH3 가스의 유량은 500 내지 5000 sccm의 범위 내, 예를 들어 1000 sccm이다. C2H4 가스의 유량은 200 내지 2000 sccm의 범위 내, 예를 들어 500 sccm이다. BCl3 가스의 유량은 1 내지 40 sccm의 범위 내, 예를 들어 4 sccm이다. C2H4 가스의 유량은 DCS 가스의 유량의 3배 이하이다. 그 이유는 탄화수소 가스인 C2H4 가스의 유량이 과도하게 많아지면, 막질이 급격히 저하되는 문제점이 발생하기 때문이다.
처리 온도는 통상의 CVD 처리보다도 낮은 온도로, 구체적으로는 300 내지 700 ℃의 범위 내, 바람직하게는 550 내지 630 ℃의 범위 내이다. 처리 온도가 300 ℃보다도 낮으면, 반응이 발생하지 않아 거의 막이 퇴적하지 않는다. 처리 온도가 700 ℃보다도 높으면, 막질이 떨어지는 CVD에 의한 퇴적막이 형성되는 동시에, 이미 형성되어 있는 금속막 등에 열적 손상을 주게 된다.
처리 압력은 13 ㎩(0.1 Torr) 내지 1330 ㎩(10 Torr)의 범위 내, 바람직하게는 40 ㎩(0.3 Torr) 내지 266 ㎩(2 Torr)의 범위 내이다. 예를 들어, 처리 압력은 제1 공정(흡착 공정)(T1)에서는 1 Torr, 제3 공정(플라즈마를 이용하는 질화 공정)(T3)에서는 0.3 Torr이다. 처리 압력이 13 ㎩보다도 작은 경우에는, 성막률이 실용 레벨 이하가 된다. 처리 압력이 1330 ㎩ 이하에서는, 웨이퍼(W)에 대한 반응은 흡착 반응이 주류이므로, 막질이 양호한 박막을 높은 성막 속도로 안정적으로 퇴적시켜, 양호한 결과를 얻을 수 있다. 그러나, 처리 압력이 1330 ㎩보다도 커지면, 반응 형태가 흡착 반응으로부터 기상 반응으로 이행하여 기상 반응이 주류가 된다. 그 결과, 막의 면간 및 면내 균일성이 저하될 뿐만 아니라, 기상 반응에 기인하는 파티클이 급격히 증대하므로 바람직하지 못하다.
<제2 실시 형태>
제2 실시 형태에 관한 성막 방법에서는, CVD에 의해 반도체 웨이퍼(W) 상에 붕소(B)가 첨가되어 있지 않은 SiCN(silicon carbon nitride)으로 이루어지는 절연막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스를 선택적으로 공급한다.
즉, 제2 실시 형태는 도3에 나타내는 제1 실시 형태를 변경하여, 붕소 함유 가스인 BCl3 가스를 포함하는 제4 처리 가스의 공급을 전혀 행하지 않도록 하여 성막을 행하는 방법에 대응한다. 따라서, 제2 실시 형태를 행하는 경우에는, 도1에 도시하는 성막 장치에 있어서 제4 처리 가스 공급계(32)는 불필요해진다. 또, 이 제2 실시 형태의 처리 조건은 제4 처리 가스에 관한 조건을 제외하고, 앞의 제1 실시 형태의 경우와 동일하다.
도4는 본 발명의 제2 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트이다. 도4에 도시한 바와 같이 본 실시 형태에 관한 성막 방법에 있어서도, 제1 내지 제4 공정(T11 내지 T14)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T11 내지 T14)으로 이루어지는 사이클 복수회 반복하고, 사이클마다 형성되는 SiCN의 박막을 적층함으로써 최종적인 두께의 SiCN막을 얻을 수 있다.
구체적으로는, 제1 공정(T11)에서는 처리 영역(5)에 대한 제1 처리 가스(도4에서는 DCS로 표시) 및 제3 처리 가스(도4에서는 C2H4로 표시)의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 처리 가스(도4에서는 NH3로 표시)의 공급을 정지한다. 제2 공정(T12)에서는 처리 영역(5)에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지한다. 제3 공정(T13)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1 및 제3 처리 가스의 공급을 정지한다. 또한, 제3 공정(T13)에서는 도중부터 RF 전원(76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T13b) 동안만큼 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제4 공정(T14)에서는 처리 영역(5)에 대한 제1, 제2 및 제3 처리 가스의 공급을 정지한다.
제2 실시 형태의 경우에도, 제2 처리 가스가 공급시에 플라즈마로 여기되므로, N을 포함하는 래디컬(활성종)의 발생에 의해 막의 질화가 촉진된다. 그 결과, 막 중 Si-H 결합이 감소하여 에칭 내성이 강한 Si-N 결합이 증가한다. 또한, 막을 성막할 때에, 탄화수소 가스로서 예를 들어 C2H4 가스를 처리 용기(8) 내로 공급함으로써, 실리콘질화막 중에 탄소 성분이 함유된 상태가 된다. 이에 의해, 종래의 성막 온도, 예를 들어 760 ℃ 정도보다도 낮은 온도, 예를 들어 550℃에서 성막하였음에도 불구하고, 이 막의 표면의 클리닝 처리시나 에칭 처리시에 이용되는 희불산에 대한 에칭률을 작게 할 수 있다. 그 결과, 클리닝 처리시에 막이 과도하게 깎아내어지는 것을 방지하여, 이 막두께의 제어성을 향상시키는 것이 가능해진다. 또한, 이 막이 에칭 스토퍼막이나 층간 절연막으로서의 기능도 충분히 다할 수 있게 된다.
<제3 실시 형태>
제3 실시 형태에 관한 성막 방법에서는, CVD에 의해 반도체 웨이퍼(W) 상에 BCN(boron doped carbon nitride)으로 이루어지는 절연막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스와, 붕소 함유 가스인 BCl3 가스를 포함하는 제4 처리 가스를 선택적으로 공급한다.
즉, 제3 실시 형태는 도3에 나타내는 제1 실시 형태를 변경하여, 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스의 공급을 전혀 행하지 않도록 하여 성막을 행하는 방법에 대응한다. 따라서, 제3 실시 형태를 행하는 경우에는, 도1에 도시하는 성막 장치에 있어서, 제1 처리 가스 공급계(30)는 불필요해진다. 또, 이 제3 실시 형태의 처리 조건은 제1 처리 가스에 관한 조건을 제외하고, 앞의 제1 실시 형태인 경우와 동일하다.
도5는 본 발명의 제3 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트이다. 도5에 도시한 바와 같이, 이 실시 형태에 관한 성막 방법에 있어서도, 제1 내지 제4 공정(T21 내지 T24)을 교대로 반복한다. 즉, 제1 내지 제4 공정(T21 내지 T24)으로 이루어지는 사이클을 복 수회 반복하여, 사이클마다 형성되는 BCN의 박막을 적층함으로써, 최종적인 두께의 BCN막을 얻을 수 있다.
구체적으로는, 제1 공정(T21)에서는 처리 영역(5)에 대한 제3 처리 가스(도5에서는 C2H4로 표시) 및 제4 처리 가스(도5에서는 BCl3로 표시)의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 처리 가스(도5에서는 NH3로 표시)의 공급을 정지한다. 제2 공정(T22)에서는 처리 영역(5)에 대한 제2, 제3, 및 제4 처리 가스의 공급을 정지한다. 제3 공정(T23)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제3 및 제4 처리 가스의 공급을 정지한다. 또한, 제3 공정(T23)에서는 도중부터 RF 전원(76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T23b) 동안만큼 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제4 공정(T24)에서는 처리 영역(5)에 대한 제2, 제3, 및 제4 처리 가스의 공급을 정지한다.
제3 실시 형태의 경우에는 제2 처리 가스가 공급시에 플라즈마로 여기되므로, N을 포함하는 래디컬(활성종)의 발생에 의해 막의 질화가 촉진된다. 그 결과, 막 중 C-H 결합이 감소하여 에칭 내성이 강한 C-N 결합이 증가한다. 이에 의해, 종래의 성막 온도, 예를 들어 760 ℃ 정도보다도 낮은 온도, 예를 들어 550℃에서 성막하였음에도 불구하고, 이 막의 표면의 클리닝 처리시나 에칭 처리시에 이용되는 희불산에 대한 에칭률을 작게 할 수 있다. 그 결과, 클리닝 처리시에 막이 과도하게 깎아내어지는 것을 방지하여, 이 막두께의 제어성을 향상시키는 것이 가능 해진다. 또한, 이 막이 에칭 스토퍼막이나 층간 절연막으로서의 기능도 충분히 다할 수 있게 된다.
<제4 실시 형태>
제4 실시 형태에 관한 성막 방법에서는, CVD에 의해 반도체 웨이퍼(W) 상에 SiBN(boron doped silicon carbon nitride) 박막과 SiCN(silicon carbon nitride) 박막의 반복 적층 구조로 이루어지는 절연막을 형성한다. 이로 인해, 웨이퍼(W)를 수납한 처리 영역(5) 내에 실란계 가스인 디클로로실란(DCS) 가스를 포함하는 제1 처리 가스와, 질화 가스인 암모니아(NH3) 가스를 포함하는 제2 처리 가스와, 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스와, 붕소 함유 가스인 BCl3 가스를 포함하는 제4 처리 가스를 선택적으로 공급한다.
즉, 제4 실시 형태는 도3에 나타내는 제1 실시 형태를 변경하여, 탄화수소 가스인 C2H4 가스(에틸렌 가스)를 포함하는 제3 처리 가스의 공급을 전혀 행하지 않는 제1 사이클과, 붕소 함유 가스인 BCl3 가스를 포함하는 제4 처리 가스의 공급을 전혀 행하지 않는 제2 사이클을 교대로 반복하여 성막을 행하는 방법에 대응한다. 또, 이 제4 실시 형태의 처리 조건은 제1 사이클과 제2 사이클을 교대로 행하는 점을 제외하고, 앞의 제1 실시 형태의 경우와 동일하다.
도7은 제4 실시 형태에서 형성되는 박막의 적층 구조의 일예를 나타내는 단면도이다. 도7에 도시한 바와 같이, 반도체 웨이퍼(W) 상에 SiBN 박막(92)과 SiCN 박막(94)이 교대로 적층된다. 이 SiBN/SiCN 적층 구조막은 전체로부터 보면, SiBCN막과 비슷한 특성을 나타낸다. 도7은 상술한 제1 및 제2 사이클로 이루어지는 세트를 3회 반복하였을 때의 상태를 나타낸다.
도6은 본 발명의 제4 실시 형태에 관한 성막 방법에 있어서의 가스 공급 및 RF(고주파) 인가의 태양을 나타내는 타이밍차트이다. 도6에 도시한 바와 같이, 본 실시 형태에 관한 성막 방법에 있어서는, 제1 내지 제4 공정(T31 내지 T34)으로 이루어지는 제1 사이클과 제5 내지 제8 공정(T35 내지 T38)으로 이루어지는 제2 사이클을 교대로 반복한다. 즉, 제1 및 제2 사이클을 복수회 반복하여 사이클마다 형성되는 SiBN의 박막과 SiCN의 박막을 적층함으로써, 최종적인 두께의 SiBN/SiCN막을 얻을 수 있다. 또, 제1 및 제2 사이클의 순서는 반대(제2 사이클이 선행하여 개시됨)라도 좋다.
구체적으로는, 우선 제1 사이클로서 제1 내지 제4 공정(T31 내지 T34)을 행한다. 제1 공정(T31)에서는 처리 영역(5)에 대한 제1 처리 가스(도6에서는 DCS로 표시) 및 제4 처리 가스(도6에서는 BCl3로 표시)의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 처리 가스(도6에서는 NH3로 표시) 및 제3 처리 가스(도6에서는 C2H4로 표시)의 공급을 정지한다. 제2 공정(T32)에서는 처리 영역(5)에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지한다. 제3 공정(T33)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1, 제3, 및 제4 처리 가스의 공급을 정지한다. 또한, 제3 공정(T33)에서는 도중부터 RF 전원 (76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T33b) 동안만큼 제2 처리 가스를 여기한 상태로 처리 영역(5)에 공급한다. 제4 공정(T34)에서는 처리 영역(5)에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지한다.
다음에, 제2 사이클로서 제5 내지 제8 공정(T35 내지 T38)을 행한다. 제5 공정(T35)에서는 처리 영역(5)에 대한 제1 및 제3 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제2 및 제4 처리 가스의 공급을 정지한다. 제6 공정(T36)에서는 처리 영역(5)에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지한다. 제7 공정(T37)에서는 처리 영역(5)에 대한 제2 처리 가스의 공급을 행하는 한편, 처리 영역(5)에 대한 제1, 제3, 및 제4 처리 가스의 공급을 정지한다. 또한, 제7 공정(T37)에서는 도중부터 RF 전원(76)을 온하여 가스 여기부(66)에서 제2 처리 가스를 플라즈마화함으로써, 서브 공정(T37b) 동안만큼 제2 처리 가스를 여기한 상태에서 처리 영역(5)에 공급한다. 제8 공정(T38)에서는 처리 영역(5)에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지한다.
제4 실시 형태의 경우에도, 제2 처리 가스가 공급시에 플라즈마로 여기되므로, N을 포함하는 래디컬(활성종)의 발생에 의해 막의 질화가 촉진된다. 또한, 막을 성막할 때에, 탄화수소 가스로서 예를 들어 C2H4 가스를 처리 용기(8) 내로 공급함으로써, 실리콘질화막 중에 탄소 성분이 함유된 상태가 된다. 이에 의해, 종래의 성막 온도, 예를 들어 760 ℃ 정도보다도 낮은 온도, 예를 들어 550℃에서 성막 하였음에도 불구하고, 이 막의 표면의 클리닝 처리시나 에칭 처리시에 이용되는 희불산에 대한 에칭률을 작게 할 수 있다. 그 결과, 클리닝 처리시에 막이 과도하게 깎아내어지는 것을 방지하여, 이 막두께의 제어성을 향상시키는 것이 가능해진다. 또한, 이 막이 에칭 스토퍼막이나 층간 절연막으로서의 기능도 충분히 다할 수 있게 된다. 또한, 막에는 붕소 원소도 함유되므로, 그 에칭 내성을 한층 향상시킬 수 있다.
<실험 1>
도1에 도시하는 장치를 사용하여, 제1 내지 제3 실시 형태에 관한 성막 방법에 의해 절연막을 형성하고, 그 평가를 행하였다. 이 실험에 있어서의 성막 처리의 처리 조건의 기준은 상술한 바와 같고, 성막 온도로서 550 ℃, 에칭액으로서 1 %의 희석 불화수소수를 이용하였다.
도8은 제1 내지 제3 실시 형태에 각각에 관한 3개의 실시예(PE1, PE2, PE3)의 박막의 에칭률의 평가 결과를 나타내는 그래프이다. 실시예(PE1, PE2, PE3)의 박막은 각각 상술한 바와 같이 SiBCN 박막, SiCN 박막, 및 BCN 박막으로 이루어진다.
도8은 각 막의 각 에칭률과, 각 막이 탄소(C) 성분을 포함하지 않는 경우의 에칭률(기준)을 나타낸다.
도8로부터 명백한 바와 같이 각 막 중에 탄소 성분을 넣은 막 종류의 경우에는 탄소 성분을 넣지 않은 막 종류보다도 모두 에칭률을 저하시킬 수 있어, 막질의 개선을 도모할 수 있는 것을 확인할 수 있었다. 예를 들어 SiBCN 박막은 SiBN 박 막에 대해 에칭률을 13.8 % 개선할 수 있었다. SiCN 박막은 SiN 박막에 대해 에칭률을 6.8 % 개선할 수 있었다. BCN 박막은 BN 박막에 대해 에칭률을 48 % 개선할 수 있었다. 이에 의해 이들 막에 탄소를 함유시키는 것의 유용성을 확인할 수 있었다.
<제1 내지 제4 실시 형태에 공통된 사항>
제1 내지 제4 실시 형태에 관한 방법은, 상술한 바와 같이 처리 프로그램을 기초로 하여 주 제어부(60)의 제어 하에서 실행된다. 도9는 주 제어부(60)의 구성의 개략을 나타내는 블럭도이다. 주 제어부(60)는 CPU(210)를 갖고, 여기에 기억부(212), 입력부(214), 출력부(216) 등이 접속된다. 기억부(212)에는 처리 프로그램이나 처리 레시피가 기억된다. 입력부(214)는 사용자와 대화하기 위한 입력 장치, 예를 들어 키보드나 포인팅 디바이스 및 기억 매체의 드라이브 등을 포함한다. 출력부(216)는 처리 장치의 각 기기를 제어하기 위한 제어 신호를 출력한다. 도9는 또한 컴퓨터에 착탈 가능한 기억 매체(218)도 모두 나타낸다.
상술한 실시 형태에 관한 방법은 프로세서 상에서 실행하기 위한 프로그램 지령으로서, 컴퓨터로 판독 가능한 기억 매체에 기입하여 각종 반도체 처리 장치에 적용할 수 있다. 혹은, 이러한 종류의 프로그램 지령은 통신 매체에 의해 전송하여 각종 반도체 처리 장치에 적용할 수 있다. 기억 매체는 예를 들어 자기 디스크{플렉시블디스크, 하드디스크[일예는 기억부(212)에 포함되는 하드디스크] 등}, 광디스크(CD, DVD 등), 광자기 디스크(MO 등), 반도체 메모리 등이다. 반도체 처리 장치의 동작을 제어하는 컴퓨터는 기억 매체에 기억된 프로그램 지령을 판독하여, 이것을 프로세서 상에서 실행함으로써 상술한 방법을 실행한다.
상기 실시 형태에서는, 성막 장치(2)로서 플라즈마를 형성하는 여기부(66)를 처리 용기(4)에 일체적으로 조립한 구성이 예시된다. 대신에, 여기부(66)를 처리 용기(4)와는 별개의 부재로 설치하여, NH3 가스를 처리 용기(4) 밖에서 미리 여기(이른바 리모트 플라즈마), 그 여기 NH3 가스를 처리 용기(4) 내로 공급하도록 해도 좋다. 또한, NH3 가스를 활성화하지 않고 공급해도 좋지만, 이 경우, 플라즈마를 이용하지 않는 것에 의한 에너지의 저하를 보상하기 위해 프로세스 온도를 조금 올리는 것이 필요해진다.
상기 실시 형태에서는 제1 처리 가스 중의 실란계 가스로서 DCS 가스가 예시된다. 이 점에 관하여, 실란계 가스로서는 디클로로실란(DCS), 헥사클로로디실란(HCD), 모노실란[SiH4], 디실란[Si2H6], 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디실릴아민(DSA), 트리실릴아민(TSA), 비스터셜부틸아미노실란(BTBAS)으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 이용할 수 있다.
상기 실시 형태에서는, 제2 처리 가스 중 질화 가스로서는 NH3 가스, N2 가스를 이용할 수 있다. 또한, 본 발명을 실리콘산질화물계의 막의 형성에 적용하는 경우에는, 질화 가스 대신에 일산화이질소[N2O], 일산화질소[NO]와 같은 산질화 가스를 이용할 수 있다. 이 경우, 형성되는 막은 산소[O]를 포함하는 실리콘산질화물계의 막이 된다.
상기 실시 형태에서는 제3 처리 가스 중의 탄화수소 가스로서 에틸렌 가스가 예시된다. 이 점에 관하여, 탄화수소 가스로서는, 아세틸렌, 에틸렌, 메탄, 에탄, 프로판, 부탄으로 이루어지는 군으로부터 선택되는 1 또는 2 이상의 가스를 이용할 수 있다.
상기 실시 형태에서는, 제4 처리 가스 중의 붕소 함유 가스로서 BCl3 가스가 예시된다. 이 점에 관하여, 붕소 함유 가스로서는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함할 수 있다.
피처리 기판으로서는, 반도체 웨이퍼에 한정되지 않고, LCD 기판, 글래스 기판 등의 다른 기판이라도 좋다.
부가의 장점 및 변형이 당 기술 분야의 숙련자들에게 즉시 이루어질 수 있을 것이다. 따라서, 본 발명은 그 광의의 태양에서, 본 명세서에 도시되고 설명된 특정 상세 및 대표적인 실시예에 한정되지 않는다. 따라서, 다양한 변형이 첨부된 청구범위 및 이들의 등가물에 의해 규정된 바와 같은 일반적인 본 발명의 개념의 사상 또는 범주로부터 일탈하지 않고 이루어질 수 있다.
본 발명에 따르면, 비교적 저온에서 성막해도 클리닝시의 에칭률을 비교적 작게 할 수 있어 클리닝시의 막두께의 제어성을 향상시킬 수 있고, 또한 에칭 스토퍼막이나 층간 절연막으로서도 충분히 기능할 수 있는 절연막을 형성하기 위한 반도체 처리용 방법 및 장치를 제공할 수 있다.

Claims (22)

  1. 실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 탄화수소 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 방법이며,
    상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비하는 반도체 처리용 성막 방법.
  2. 제1항에 있어서, 상기 제3 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 반도체 처리용 성막 방법.
  3. 제2항에 있어서, 상기 제3 공정은 상기 여기 기간 전에 상기 제2 처리 가스 를 상기 여기 기구에 의해 여기하지 않은 상태에서 상기 처리 영역에 공급하는 기간도 구비하는 반도체 처리용 성막 방법.
  4. 제1항에 있어서, 상기 처리 영역은 붕소 함유 가스를 포함하는 제4 처리 가스를 선택적으로 공급 가능하게 구성되고, 상기 반도체 처리용 성막 방법은 상기 처리 영역에 대한 상기 제4 처리 가스의 공급을 행하는 기간을 구비하는 반도체 처리용 성막 방법.
  5. 제4항에 있어서, 상기 처리 영역에 대한 상기 제4 처리 가스 공급은 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급과 같은 타이밍에 실행 및 정지하는 반도체 처리용 성막 방법.
  6. 제4항에 있어서, 상기 제1 공정은 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 및 제4 처리 가스의 공급을 정지하는 것이고,
    상기 제2 공정은 상기 처리 영역에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지하는 것이고,
    상기 제3 공정은 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1, 제3, 및 제4 처리 가스의 공급을 정지하는 것이고,
    상기 제4 공정은 상기 처리 영역에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지하는 것이고,
    상기 반도체 처리용 성막 방법은 상기 제1 내지 제4 공정 후에,
    상기 처리 영역에 대한 상기 제1 및 제4 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 및 제3 처리 가스의 공급을 정지하는 제5 공정과,
    상기 처리 영역에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지하는 제6 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1, 제3, 및 제4 처리 가스의 공급을 정지하는 제7 공정과,
    상기 처리 영역에 대한 제1, 제2, 제3, 및 제4 처리 가스의 공급을 정지하는 제8 공정을 교대로 더 구비하는 것을 포함하는 반도체 처리용 성막 방법.
  7. 제6항에 있어서, 상기 제7 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 반도체 처리용 성막 방법.
  8. 제7항에 있어서, 상기 제7 공정은 상기 여기 기간 전에 상기 제2 처리 가스를 상기 여기 기구에 의해 여기하지 않은 상태에서 상기 처리 영역에 공급하는 기간도 구비하는 반도체 처리용 성막 방법.
  9. 제1항에 있어서, 상기 제2 및 제4 공정의 각각은 상기 처리 영역에 대한 퍼 지 가스의 공급을 행하는 기간을 구비하는 반도체 처리용 성막 방법.
  10. 제1항에 있어서, 상기 제1 공정 내지 상기 제4 공정에 걸쳐서 상기 처리 영역 내의 배기를 계속하는 반도체 처리용 성막 방법.
  11. 제1항에 있어서, 상기 제1 처리 가스는 디클로로실란, 헥사클로로디실란, 모노실란, 디실란, 헥사메틸디실라잔, 테트라클로로실란, 디실릴아민, 트리실릴아민, 비스터셜부틸아미노실란으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소, 일산화질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제3 처리 가스는 아세틸렌, 에틸렌, 메탄, 에탄, 프로판, 부탄으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 방법.
  12. 제4항에 있어서, 상기 제4 처리 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 방법.
  13. 탄화수소 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 붕소 함유 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반 도체 처리용 성막 방법이며,
    상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 구비하는 반도체 처리용 성막 방법.
  14. 제13항에 있어서, 상기 제3 공정은 상기 제2 처리 가스를 여기 기구에 의해 여기한 상태에서 상기 처리 영역에 공급하는 여기 기간을 구비하는 반도체 처리용 성막 방법.
  15. 제14항에 있어서, 상기 제3 공정은 상기 여기 기간 전에 상기 제2 처리 가스를 상기 여기 기구에 의해 여기하지 않은 상태에서 상기 처리 영역에 공급하는 기간도 구비하는 반도체 처리용 성막 방법.
  16. 제13항에 있어서, 상기 제2 및 제4 공정의 각각은 상기 처리 영역에 대한 퍼지 가스의 공급을 행하는 기간을 구비하는 반도체 처리용 성막 방법.
  17. 제13항에 있어서, 상기 제1 공정 내지 상기 제4 공정에 걸쳐서 상기 처리 영역 내의 배기를 계속하는 반도체 처리용 성막 방법.
  18. 제13항에 있어서, 상기 제1 처리 가스는 아세틸렌, 에틸렌, 메탄, 에탄, 프로판, 부탄으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제2 처리 가스는 암모니아, 질소, 일산화이질소, 일산화질소로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하고, 상기 제3 처리 가스는 BCl3, B2H6, BF3, B(CH3)3으로 이루어지는 군으로부터 선택되는 1 이상의 가스를 포함하는 반도체 처리용 성막 방법.
  19. 반도체 처리용 성막 장치이며,
    피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
    상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
    상기 처리 영역 내를 배기하는 배기계와,
    상기 처리 영역에 실란계 가스를 포함하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와,
    상기 처리 영역에 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와,
    상기 처리 영역에 탄화수소 가스를 포함하는 제3 처리 가스를 공급하는 제3 처리 가스 공급계와,
    상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 제어부는 상기 피처리 기판 상에 CVD에 의해 절연막을 형성하기 위해,
    상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행하는 반도체 처리용 성막 장치.
  20. 반도체 처리용 성막 장치이며,
    피처리 기판을 수납하는 처리 영역을 갖는 처리 용기와,
    상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,
    상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,
    상기 처리 영역 내를 배기하는 배기계와,
    상기 처리 영역에 탄화수소 가스를 포함하는 제1 처리 가스를 공급하는 제1 처리 가스 공급계와,
    상기 처리 영역에 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스를 공급하는 제2 처리 가스 공급계와,
    상기 처리 영역에 붕소 함유 가스를 포함하는 제3 처리 가스를 공급하는 제3 처리 가스 공급계와,
    상기 장치의 동작을 제어하는 제어부를 구비하고,
    상기 제어부는 상기 피처리 기판 상에 CVD에 의해 절연막을 형성하기 위해,
    상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행하는 반도체 처리용 성막 장치.
  21. 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터 판독 가능 매체이며,
    상기 프로그램 지령은, 프로세서에 의해 실행될 때, 실란계 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 탄화수 소 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 장치에,
    상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행시키는 컴퓨터 판독 가능 매체.
  22. 프로세서 상에서 실행하기 위한 프로그램 지령을 포함하는 컴퓨터 판독 가능 매체이며,
    상기 프로그램 지령은, 프로세서에 의해 실행될 때, 탄화수소 가스를 포함하는 제1 처리 가스와 질화 가스 또는 산질화 가스를 포함하는 제2 처리 가스와 붕소 함유 가스를 포함하는 제3 처리 가스를 선택적으로 공급 가능한 처리 영역 내에서 피처리 기판 상에 CVD에 의해 절연막을 형성하는 반도체 처리용 성막 장치에,
    상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 정지하는 제1 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제2 공정과,
    상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 행하는 한편, 상기 처리 영역에 대한 상기 제1 및 제3 처리 가스의 공급을 정지하는 제3 공정과,
    상기 처리 영역에 대한 제1, 제2, 및 제3 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행시키는 컴퓨터 판독 가능 매체.
KR1020060021536A 2005-03-09 2006-03-08 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체 KR100935257B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2005-00066340 2005-03-09
JP2005066340 2005-03-09
JPJP-P-2006-00004191 2006-01-11
JP2006004191A JP4258518B2 (ja) 2005-03-09 2006-01-11 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
KR20060097619A KR20060097619A (ko) 2006-09-14
KR100935257B1 true KR100935257B1 (ko) 2010-01-06

Family

ID=36971600

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060021536A KR100935257B1 (ko) 2005-03-09 2006-03-08 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체

Country Status (4)

Country Link
US (1) US7351668B2 (ko)
JP (1) JP4258518B2 (ko)
KR (1) KR100935257B1 (ko)
TW (1) TWI374481B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101140171B1 (ko) 2008-11-26 2012-04-24 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치

Families Citing this family (360)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4403824B2 (ja) * 2003-05-26 2010-01-27 東京エレクトロン株式会社 シリコン窒化膜の成膜方法
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4470970B2 (ja) * 2007-07-31 2010-06-02 東京エレクトロン株式会社 プラズマ処理装置
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
JP5228437B2 (ja) * 2007-10-19 2013-07-03 東京エレクトロン株式会社 処理装置及びその使用方法
JP4924395B2 (ja) 2007-12-07 2012-04-25 東京エレクトロン株式会社 処理装置及び処理方法
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP2010147139A (ja) * 2008-12-17 2010-07-01 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5223804B2 (ja) * 2009-07-22 2013-06-26 東京エレクトロン株式会社 成膜方法及び成膜装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
JP5466526B2 (ja) * 2010-02-15 2014-04-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5651451B2 (ja) * 2010-03-16 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6151335B2 (ja) * 2011-01-14 2017-06-21 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5699980B2 (ja) 2011-06-16 2015-04-15 東京エレクトロン株式会社 成膜方法及び成膜装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
US9165761B2 (en) * 2011-08-25 2015-10-20 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, method for processing substrate, substrate processing apparatus and recording medium
DE102011112897A1 (de) * 2011-09-06 2013-03-07 Sentech Instruments Gmbh Vorrichtung und Verfahren zur Beschichtung eines Substrates
JP5741382B2 (ja) 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5806612B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 シリコン酸炭窒化膜の形成方法
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5758829B2 (ja) 2012-03-27 2015-08-05 東京エレクトロン株式会社 ボロン含有シリコン酸炭窒化膜の形成方法およびシリコン酸炭窒化膜の形成方法
JP5920242B2 (ja) 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6129573B2 (ja) * 2013-02-13 2017-05-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5793170B2 (ja) * 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5687328B2 (ja) * 2013-12-11 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6291297B2 (ja) 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6340251B2 (ja) 2014-05-30 2018-06-06 東京エレクトロン株式会社 SiCN膜の成膜方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6347705B2 (ja) 2014-09-17 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11244822B2 (en) * 2015-10-20 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for manufacturing a thin film and a method therefor
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6523186B2 (ja) * 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN108475624B (zh) * 2016-02-29 2023-10-20 株式会社国际电气 半导体器件的制造方法、衬底处理装置以及记录介质
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6857503B2 (ja) * 2017-02-01 2021-04-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN114875388A (zh) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102444707B1 (ko) 2018-03-26 2022-09-19 에스케이하이닉스 주식회사 극저유전율스페이서를 구비한 반도체장치 및 그 제조 방법
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP6987021B2 (ja) * 2018-05-28 2021-12-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7321730B2 (ja) * 2019-03-14 2023-08-07 キオクシア株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
CN112017936A (zh) * 2019-05-28 2020-12-01 东京毅力科创株式会社 等离子体处理装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024047713A1 (ja) * 2022-08-29 2024-03-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187341A (ja) * 1997-09-12 1999-03-30 Toshiba Corp 成膜方法及び成膜装置
KR20010062710A (ko) * 1999-12-28 2001-07-07 무라세 하루오 성막방법 및 반도체 장치
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0499026A (ja) * 1990-08-06 1992-03-31 Kawasaki Steel Corp 半導体装置
JPH0634974A (ja) 1992-07-20 1994-02-10 Toshiba Lighting & Technol Corp 照明装置および液晶表示装置
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
WO2000054329A1 (fr) * 1999-03-09 2000-09-14 Tokyo Electron Limited Dispositif semi-conducteur et procede de fabrication correspondant
JP2000282241A (ja) * 1999-04-01 2000-10-10 Matsushita Electric Ind Co Ltd 多層薄膜の製造方法
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP2003218106A (ja) * 2002-01-23 2003-07-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
US20050136657A1 (en) * 2002-07-12 2005-06-23 Tokyo Electron Limited Film-formation method for semiconductor process
JP4275134B2 (ja) * 2002-10-11 2009-06-10 臼井国際産業株式会社 フューエルデリバリパイプ
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
JP4259247B2 (ja) * 2003-09-17 2009-04-30 東京エレクトロン株式会社 成膜方法
US7273818B2 (en) * 2003-10-20 2007-09-25 Tokyo Electron Limited Film formation method and apparatus for semiconductor process
JP4655495B2 (ja) * 2004-03-31 2011-03-23 東京エレクトロン株式会社 成膜方法
JP4675127B2 (ja) * 2004-04-23 2011-04-20 東京エレクトロン株式会社 薄膜形成装置、薄膜形成装置の洗浄方法及びプログラム
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4179311B2 (ja) * 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4541864B2 (ja) * 2004-12-14 2010-09-08 東京エレクトロン株式会社 シリコン酸窒化膜の形成方法、形成装置及びプログラム
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
JP4506677B2 (ja) * 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1187341A (ja) * 1997-09-12 1999-03-30 Toshiba Corp 成膜方法及び成膜装置
KR20010062710A (ko) * 1999-12-28 2001-07-07 무라세 하루오 성막방법 및 반도체 장치
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101140171B1 (ko) 2008-11-26 2012-04-24 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
TW200717611A (en) 2007-05-01
JP2006287194A (ja) 2006-10-19
TWI374481B (en) 2012-10-11
KR20060097619A (ko) 2006-09-14
US7351668B2 (en) 2008-04-01
US20060205231A1 (en) 2006-09-14
JP4258518B2 (ja) 2009-04-30

Similar Documents

Publication Publication Date Title
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
KR101141870B1 (ko) 반도체 처리용 성막 방법 및 성막 장치
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR101146397B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
KR101105130B1 (ko) 반도체 처리용 성막 방법 및 장치
KR101122964B1 (ko) 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치
KR100890684B1 (ko) 반도체 처리용 성막 방법
KR100967238B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체
KR101314002B1 (ko) SiCN막 성막 방법
CN101106075B (zh) 半导体处理的成膜装置和此装置的使用方法
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
CN100554506C (zh) 半导体处理用的成膜方法及装置
KR101503725B1 (ko) 성막 방법 및 성막 장치
KR100983452B1 (ko) 실리콘 질화막의 형성 방법
KR101077695B1 (ko) 종형 플라즈마 처리 장치 및 그 사용 방법
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141205

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161122

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20171120

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181219

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191217

Year of fee payment: 11