CN101005029B - 半导体处理用成膜方法和装置 - Google Patents

半导体处理用成膜方法和装置 Download PDF

Info

Publication number
CN101005029B
CN101005029B CN2007100019987A CN200710001998A CN101005029B CN 101005029 B CN101005029 B CN 101005029B CN 2007100019987 A CN2007100019987 A CN 2007100019987A CN 200710001998 A CN200710001998 A CN 200710001998A CN 101005029 B CN101005029 B CN 101005029B
Authority
CN
China
Prior art keywords
gas
supplied
processing region
described processing
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2007100019987A
Other languages
English (en)
Other versions
CN101005029A (zh
Inventor
周保华
长谷部一秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101005029A publication Critical patent/CN101005029A/zh
Application granted granted Critical
Publication of CN101005029B publication Critical patent/CN101005029B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides

Abstract

在可选择地供给含有硅烷类气体的第一处理气体、含有氮化气体的第二处理气体和含有碳氢化合物气体的第三处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜。该成膜方法,重复实行:对处理区域供给第一处理气体,对处理区域供给第二处理气体,和对处理区域供给第三处理气体。第三处理气体的供给,包括将第三处理气体在由激发机构激发的状态下供给至处理区域的激发期间。

Description

半导体处理用成膜方法和装置
与相关申请的交叉参照
本申请基于2006年1月16日提交的在先日本专利申请第2006-007951号,并要求其优先权,对其全部内容在此结合以作参照。
技术领域
本发明涉及在半导体晶片等被处理基板上形成绝缘膜的半导体处理用的成膜方法和装置。这里,所谓半导体处理,指通过在晶片、LCD(Liquid Crystal Display:液晶显示器)这类FPD(Flat Panel Display:平面显示器)用玻璃基板等被处理基板上,以规定的图案形成半导体层、绝缘层、导电层等,为了在该被处理基板上制造包括半导体设备、与半导体设备连接的配线和电极等结构物而实施的各种处理。
背景技术
在构成半导体集成电路的半导体设备的制造中,在被处理基板例如半导体(例如硅)晶片上,施行成膜、蚀刻、氧化、扩散、改性、退火、除去自然氧化膜等各种处理。US 2003/0224618 A1公开了立式的(所谓批量式的)热处理装置中的这种半导体处理方法。在该方法中,首先,将半导体晶片从晶片盒移载到立式的晶舟上,多级地支承。在晶片盒中,可以收容例如25片晶片,在晶舟上可以载置30~150片晶片。接着,将晶舟从处理容器的下方装入其内部,并且将处理容器气密地封闭。接着,在控制处理气体的流量、处理压力、处理温度等各种处理条件的状态下,进行规定的热处理。
为了提高半导体集成电路的特性,提高半导体设备的绝缘膜的特性是很重要的。作为半导体设备中的绝缘膜,可以使用SiO2、PSG(Phospho Silicate Glass:磷硅玻璃)、P(通过等离子体CVD形成)-SiO、P(通过等离子体CVD形成)-SiN、SOG(Spin On Glass:旋涂玻璃)、Si3N4(氮化硅膜)等。特别是氮化硅膜,由于绝缘特性优于氧化硅膜,并且也充分发挥作为蚀刻阻止(etching stopper)膜或层间绝缘膜的功能,所以具有大量使用的趋势。
作为在半导体晶片的表面上形成上述氮化硅膜的方法,已知使用甲硅烷(SiH4)、二氯硅烷(DCS:SiH2Cl2)、六氯乙硅烷(HCD:Si2Cl6)、双叔丁氨基硅烷(BTBAS:SiH2(NH(C4H9))2)等硅烷类气体作为硅源气体,通过热CVD(Chemical Vapor Deposition:化学汽相沉积)进行成膜的方法。例如,使用SiH2Cl2+NH3(参照日本特开平11-87341号公报)或Si2Cl6+NH3等气体的组合,通过热CVD,形成氮化硅膜。
近年来,随着半导体集成电路的进一步的高集成化和高微细化的要求,希望减轻半导体设备的制造工序中的热经历,提高设备的特性。即使在立式的处理装置中,也希望进行按照这种要求的半导体处理方法的改良。例如,在作为成膜处理的一种的CVD(Chemical VaporDeposition:化学汽相沉积)中,有一边间歇性地供给原料气体等,一边重复成膜一层或数层原子或分子水平厚度的层的方法(例如,日本特开平6-45256号公报、日本特开平11-87341号公报)。这种成膜方法一般称为ALD(Atomic Layer Deposition:原子层沉积),由此,可以进行以不使晶片暴露于如此高温中为目的处理。
例如,在使用硅烷类气体二氯硅烷(DCS)和氮化气体NH3,形成氮化硅膜(SiN)的情况下,可以进行下述处理。即,隔着扫吹期间,交替地间歇性向处理容器内供给DCS与NH3气体。在供给NH3气体时,通过施加RF(高频),在处理容器内生成等离子体,进而促进氮化反应。这里,首先,通过向处理容器内供给DCS,在晶片表面上以分子水平吸附一层或多层DCS。在扫吹期间内排出余下的DCS。接着,通过供给NH3并生成等离子体,利用低温下的氮化形成氮化硅膜。重复进行这一系列的工序,完成规定厚度的膜。
可是,在形成上述的绝缘膜后,在其上形成其他薄膜的情况下,存在上述绝缘膜的表面附着有机物或颗粒等污染物的可能性。因此,根据需要,以去除该污染物为目的,进行清洗处理。在这种情况下,使半导体晶片浸渍在稀氢氟酸等清洗液中,蚀刻绝缘膜的表面。由此,非常薄地削去绝缘膜的表面,去除污染物。
例如在760℃左右的高温下利用CVD成膜形成上述绝缘膜时,绝缘膜清洗时的蚀刻速度变得相当小。因此,在清洗时不会过度地削去该绝缘膜,能够在膜厚的控制性良好的状态下进行清洗处理。但是,在基底层上形成有耐热性低的薄膜的情况下,无法使用高温的热CVD处理。
反之,例如在400℃左右的低温下利用ALD成膜形成上述绝缘膜时,绝缘膜清洗时的蚀刻速度变得相当大。因此,在清洗时有时发生该绝缘膜过度削去,清洗处理时的膜厚的控制性劣化。
此外,有时将氮化硅膜用作如上所述的蚀刻阻止膜或层间绝缘膜。在这种情况下,虽然需要充分地减小氮化硅膜的蚀刻速度,但是利用现有的成膜方法,无法充分适应该要求。
发明内容
本发明目的在于提供一种半导体处理用的方法和装置,用于形成一种绝缘膜,即使在比较低温下成膜,也能够使清洗时的蚀刻速度比较小,能够提高清洗时的膜厚的控制性,并且,能够充分发挥作为蚀刻阻止膜或层间绝缘膜的功能。再者,本发明是日本特开2003-282566(2003年10月3日)和US 2006/0205231 A1(2006年9月14日)公开的发明的改良发明。
本发明的第一观点是一种半导体处理用的成膜方法,其特征在于:在可选择地供给含有硅烷类气体的第一处理气体、含有氮化气体的第二处理气体和含有碳氢化合物气体的第三处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜,反复进行:对上述处理区域供给上述第一处理气体;对上述处理区域供给上述第二处理气体;和对上述处理区域供给上述第三处理气体。并且,上述第三处理气体的供给,包括将上述第三处理气体在由激发机构激发的状态下供给至上述处理区域的激发期间。
上述第一观点的方法,可以交替地包括:对上述处理区域供给上述第一处理气体的第一工序;停止对上述处理区域供给第一、第二和第三处理气体的第二工序;对上述处理区域供给上述第三处理气体,另一方面停止对上述处理区域供给上述第一和第二处理气体的第三工序,并且,上述第三工序包括上述激发期间;停止对上述处理区域供给第一、第二和第三处理气体的第四工序;对上述处理区域供给上述第二处理气体,另一方面停止对上述处理区域供给上述第一和第三处理气体的第五工序;和停止对上述处理区域供给第一、第二和第三处理气体的第六工序。
取而代之,上述第一观点的方法,可以交替地包括:对上述处理区域供给上述第一和第二处理气体,另一方面停止对上述处理区域供给上述第三处理气体的第一工序;停上对上述处理区域供给第一、第二和第三处理气体的第二工序;对上述处理区域供给上述第三处理气体,另一方面停止对上述处理区域供给上述第一和第二处理气体的第三工序,上述第三工序包括上述激发期间;和停止对上述处理区域供给第一、第二和第三处理气体的第四工序。
取而代之,上述第一观点的方法,可以交替地包括:对上述处理区域供给上述第一处理气体的第一工序;停止对上述处理区域供给第一、第二和第三处理气体的第二工序;对上述处理区域供给上述第二和第三处理气体,另一方面停止对上述处理区域供给上述第一处理气体的第三工序,并且,上述第三工序包括上述激发期间;和停止对上述处理区域供给第一、第二和第三处理气体的第四工序。
取而代之,上述第一观点的方法,可以交替地包括:对上述处理区域供给上述第一处理气体的第一工序;停止对上述处理区域供给第一、第二和第三处理气体的第二工序;对上述处理区域供给上述第三处理气体,另一方面停止对上述处理区域供给上述第一和第二处理气体的第三工序,上述第三工序包括上述激发期间;对上述处理区域供给上述第二处理气体,另一方面停止对上述处理区域供给上述第一和第三处理气体的第四工序,上述第四工序包括将上述第二处理气体在由活化机构激发的状态下供给至上述处理区域的活化期间;和停止对上述处理区域供给第一、第二和第三处理气体的第五工序。
本发明的第二观点是一种半导体处理用的成膜装置,其特征在于,包括:处理容器,其具有收纳被处理基板的处理区域;支承部件,其在上述处理区域内支承上述被处理基板;加热器,其加热上述处理区域内的上述被处理基板;排气系统,其对上述处理区域内进行排气;第一处理气体供给系统,向上述处理区域供给含有硅烷类气体的第一处理气体;第二处理气体供给系统,向上述处理区域供给含有氮化气体的第二处理气体;第三处理气体供给系统,其向上述处理区域供给含有碳氢化合物气体的第三处理气体;和控制部,其控制上述装置动作。其中,上述控制部用于通过CVD在上述被处理基板上形成绝缘膜,反复进行:对上述处理区域供给上述第一处理气体;对上述处理区域供给上述第二处理气体;和对上述处理区域供给上述第三处理气体。并且,上述第三处理气体的供给,包括将上述第三处理气体在由激发机构激发的状态下供给至上述处理区域的激发期间。
本发明的第三观点是一种可由计算机读取的介质,包含用于在处理器上执行的程序指令,其特征在于:上述程序指令,由处理器执行时,使得在可选择地供给含有硅烷类气体的第一处理气体、含有氮化气体的第二处理气体和含有碳氢化合物气体的第三处理气体的处理区域内,通过CVD在被处理基极上形成绝缘膜的半导体处理用的成膜装置中,反复进行:对上述处理区域供给上述第一处理气体;对上述处理区域供给上述第二处理气体;和对上述处理区域供给上述第三处理气体。并且,上述第三处理气体的供给,包括将上述第三处理气体在由激发机构激发的状态下供给至上述处理区域的激发期间。
本发明的其他目的和优点将在以下说明中阐明、通过以下说明部分变得明显、或可通过对本发明的实施而获知。本发明的目的和优点可通过以下具体指出的手段及组合而实现和获得。
附图说明
结合在本说明书中且构成其一部分的附图,图解显示了本发明目前的优选实施例,与以上给出的总体说明和以下给出的优选实施例的详细说明一起,用于解释本发明的本质。
图1是表示本发明实施方式的成膜装置(立式CVD装置)的截面图。
图2是表示图1所示的装置的一部分的横截面图。
图3是表示图1所示的装置的变形例的一部分的横截面图。
图4是表示本发明第一实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图5是表示本发明第一实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图6是表示本发明第一实施方式的变形例2的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图7是表示本发明第一实施方式的变形例3的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图8是表示本发明第二实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图9是表示本发明第二实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图10是表示本发明第三实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图11是表示本发明第三实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图12是表示本发明第三实施方式的变形例2的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图13是表示本发明第三实施方式的变形例3的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图14是表示本发明第四实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图15是表示本发明第四实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图16是表示本发明第四实施方式的变形例2的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图17是表示本发明第四实施方式的变形例3的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图18是表示比较例的成膜方法中,气体供给和RF(高频)施加状态的时间图。
图19A表示通过实验得到的比较例CE和实施例PE1-1、PE1-2、PE3-3、PE4-2中SiCN膜中的碳浓度Nc(1×1019atoms/cc)的图表。
图19B表示通过实验得到的比较例CE和实施例PE1-1、PE1-2、PE3-3、PE4-2中的SiCN膜由DHF(稀氢氟酸)引起的蚀刻速度ER(1×10-10m/min)的图表。
图20是示意性地表示主控制部结构的框图。
具体实施方式
下面,参照附图,对本发明的实施方式进行说明。其中,在以下的说明中,对于具有大致同一功能和结构的构成要素,标以同一标号,仅在必要的情况下进行重复说明。
图1是表示本发明实施方式的成膜装置(立式CVD装置)的截面图。图2是表示图1所示的装置的一部分的横截面图。该成膜装置2包括可选择地供给含有硅烷类气体二氯硅烷(DCS)的第一处理气体、含有氮化气体氨气(NH3)的第二处理气体和含有碳氢化合物气体C2H4气体(乙烯气体)的第三处理气体的处理区域。成膜装置2构成为,在这种处理区域内,一边通过等离子体使乙烯气体活化,一边通过CVD在被处理基板上形成作为含碳绝缘膜的SiCN膜。并且,根据需要,有时也使氨气活化。
成膜装置2具有下端开口的有天井的圆筒体状的处理容器4,其内部规定处理区域5,处理区域用于收容隔开间隔重叠的多片半导体晶片(被处理基板)并进行处理。处理容器4整体例如由石英制成。在处理容器4内的天井上,配置有石英制的天井板6,进行密封。在处理容器4的下端开口处,经由O形环等密封部件10,与成形为圆筒体状的歧管(manifold)8连接。并且,也可以不分开设置歧管8,由圆筒体状的石英制的处理容器构成整体。
歧管8例如由不锈钢制成,支承处理容器4的下端。通过歧管8的下端开口,使石英制的晶舟12升降,由此,对处理容器4装载/卸载晶舟12。在晶舟12上,多级地载置有作为被处理基板的多片半导体晶片W。例如,在本实施方式的情况下,在晶舟12的支柱12A上,能够以大致相等的间距多级地支承例如50~100片左右的直径300mm的晶片W。
晶舟12隔着石英制的保温筒14载置在台16上。台16支承在旋转轴20上,该旋转轴贯通开闭歧管8的下端开口例如不锈钢制的盖体18。
在旋转轴20的贯通部上,附设有例如磁性流体密封垫(seal)22,气密地密封旋转轴20,同时可旋转地支承。在盖体18的周边部和歧管8的下端部,附设有例如由O形环等构成的密封部件24,保持容器内的密封性。
旋转轴20安装于支承在例如晶舟升降机等升降机构25的臂26的前端。利用升降机构25,晶舟12和盖体18等一体地升降。并且,也可以向盖体18侧固定并设置台16,不使晶舟12旋转,进行晶片W的处理。
在歧管8的侧部,连接有用于向处理容器4内的处理区域5供给规定的处理气体的气体供给部。气体供给部包括:第一处理气体供给系统30、第二处理气体供给系统32、第三处理气体供给系统28和扫吹气体供给系统36。第一处理气体供给系统30供给含有作为硅烷类气体的DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统32供给含有作为氮化气体的氨气(NH3)的第二处理气体。第三处理气体供给系统28供给含有作为碳氢化合物气体的C2H4气体(乙烯气体)的第三处理气体。扫吹气体供给系统36供给作为扫吹气体的不活泼气体,例如N2气。虽然在第一~第三处理气体中,根据需要混合有适当量的载体气体,但下面,为了容易说明,不谈及载体气体。
具体而言,第三、第一和第二处理气体供给系统28、30、32,分别具有气体分散喷嘴38、40、42(参照图1),该气体分散喷嘴向内侧贯通歧管8的侧壁,向上弯曲并延伸,由石英管构成。在各气体分散喷嘴38~42上,隔开规定的间隔形成有多个气体喷射孔38A、40A、42A,使得沿其长度方向(上下方向)且跨越晶舟12的晶片W的全部。气体喷射孔38A、40A、42A分别对晶舟12上的多片晶片W,在水平方向上大致均匀地供给对应的处理气体,使得形成平行的气流。另一方面,扫吹气体供给系统36具有贯通歧管8的侧壁设置的短的气体喷嘴46。
喷嘴38、40、42、46分别经由气体供给管线(气体通路)48、50、52、56,与C2H4气体、DCS气体、NH3气体和N2气体的气体源28S、30S、32S、36S连接。在气体供给管线48、50、52、56 上,配置有开闭阀48A、50A、52A、56A和质量流量控制器等流量控制器48B、50B、52B、56B。由此,能够分别控制C2H4气体、DCS气体、NH3气体和N2气体的流量,同时进行供给。
在处理容器4的侧壁的一部分上,沿其高度方向,配置有气体激发部(活性部)66。为了对该内部氛围气体进行真空排气,在处理容器4的与气体激发部66相对的相反侧上,配置有通过例如向上下方向削去处理容器4的侧壁形成的细长的排气口68。
具体而言,气体激发部66具有通过沿上下方向以规定的宽度削去处理容器4的侧壁形成的上下细长的开口70。开口70被气密地焊接接合于处理容器4外壁的石英制的罩72所覆盖。罩72以向处理容器4的外侧突出的方式形成截面凹部状,而且具有上下细长的形状。
利用该结构,形成从处理容器4的侧壁突出并且一侧向处理容器4内开口的气体激发部66。即,气体激发部66的内部空间与处理容器4内的处理区域5连通。形成上下方向上足够长的开口70,使得能够在高度方向上覆盖保持在晶舟12上的所有的晶片W。
在罩72的两侧壁的外侧面上配设有一对细长的电极74,使得沿其长度方向(上下方向)相互对置。等离子体发生用的高频电源76经由供电线78与电极74连接。通过在电极74上施加例如13.56MHz的高频电压,在一对电极74间形成用于激发等离子体的高频电场。并且,高频电压的频率不限于13.56MHz,也可以用其他频率,例如400kHz等。
第三处理气体的气体分散喷嘴38,位于晶舟12上的最下层的晶片W以下,向处理容器4的半径方向外侧弯曲。然后,气体分散喷嘴38在气体激发部66内的最深(距处理容器4的中心最远的部分)的位置上,垂直地起。如图2所示,气体分散喷嘴38,设置在由一对对置的电极74夹着的区域(高频电场最强的位置),即向实际上发生主要等离子体的等离子体发生区域PS的外侧离开的位置。从气体分散喷嘴38的气体喷射孔38A喷射的含有C2H4气体的第三处理气体,向等离子体发生区域PS喷射,在这里被激发(分解或活化),在该状态下,供给到晶舟12上的晶片W上。
在罩72的外侧,将其覆盖,安装有例如石英制的绝缘保护罩80。在绝缘保护罩80的内侧、与电极74相对的部分上,配置有制冷剂通路组成的冷却机构(未图示)。通过使作为制冷剂的例如冷却的氮气在制冷剂通路上流过,冷却电极74。并且,在绝缘保护罩80的外侧,为了将其覆盖防止高频的泄漏,设置有遮护板(shield)(未图示)。
在气体激发部66的开口70的外侧附近,即开口70的外侧(处理容器4内)的一侧,垂直立起地配置有第一处理气体的气体分散喷嘴40,在另一侧垂直立起地配置有第二处理气体的气体分散喷嘴42。分别从形成在气体分散喷嘴40、42上的气体喷射孔40A、42A,向处理容器4的中心方向,喷射含有DCS气体的第一处理气体和含有NH3气体的第二处理气体。
另一方面,利用焊接,在与气体激发部66相对设置的排气口68上,将其覆盖安装有石英制的截面形成为“コ”形的排气口罩部件82。排气口罩部件82沿着处理容器4的侧壁向上延伸,在处理容器4的上方形成有气体出口84。配置有真空泵等的真空排气系统GE与气体出口84连接。
以包围处理容器4的方式,配置有加热处理容器4内的氛围气体和晶片W的加热器86。在处理容器4内的排气口68附近,配置有用于控制加热器86的热电偶(未图示)。
成膜装置2还包括由控制装置整体动作的计算机等构成的主控制部60。主控制部60根据预先储存在与其附带的存储部212中的成膜处理的处理方案,例如形成膜的膜厚或组成,进行后述的成膜处理。在该存储部212中,还预先储存有作为控制数据的处理气体流量与膜的膜厚、组成的关系。因此,主控制部60能够基于这些所储存的处理方案或控制数据,控制升降机构25,气体供给系统28、30、32、36,排气系统GE,气体激发部66,加热器86等。
图3是表示图1所示的装置的变形例的一部分的横截面图。本发明包括不仅使含有碳氢化合物气体例如C2H4气体的第三处理气体活化,还使含有氮化气体例如NH3气体的第二处理气体活化的情况,在这种情况下,使用图3所示的结构。因此,在图3所示的变形例中,第二处理气体的气体分散喷嘴42′与第三处理气体的气体分散喷嘴38并列配置。具体而言,第二处理气体的气体分散喷嘴42′位于晶舟12上最下层的晶片W以下,向处理容器4的半径方向外侧弯曲。然后,气体分散喷嘴42′在气体激发部66内的最深(距处理容器4的中心最远的部分)的位置上,垂直地立起。如图3所示,气体分散喷嘴42′设置在由一对对置电极74夹着的区域(高频电场最强的位置),即向实际上发生主要等离子体的等离子体发生区域PS的外侧离开的位置。从气体分散喷嘴42′的气体喷射孔42A喷射的含有NH3气体的第二处理气体向等离子体发生区域PS喷射,在这里被激发(分解或活化),在这种状态下,供给到晶舟12上的晶片W上。
下面,对于用图1所示的装置进行的成膜方法(所谓ALD(AtomicLayer Deposition:原子层沉积)成膜)进行说明。
<第一实施方式>
在第一实施方式的成膜方法中,通过CVD在半导体晶片W上形成由SiCN(silicon carbon nitride:碳氮化硅)构成的绝缘膜。为此,有选择地向收纳有晶片W的处理区域5内,供给含有硅烷类气体二氯硅烷(DCS)气体的第一处理气体、含有氮化气体氨气(NH3)的第二处理气体、和含有碳氢化合物气体C2H4气体(乙烯气体)的第三处理气体。
首先,将保持有多片、例如50~100片300mm尺寸的晶片W的常温的晶舟12装载于设定成规定温度的处理容器4内,密闭处理容器4。然后,将处理容器8内抽真空,维持在规定的处理压力,并且使晶片温度上升,进行待机,直至稳定在成膜用的处理温度。接着,一边使晶舟12旋转,一边分别控制流量,间歇地从气体分散喷嘴40、42、38供给第一~第三处理气体。
具体而言,从气体分散喷嘴40的气体喷射孔40A,对晶舟12上的多片晶片W供给含有DCS气体的第一处理气体,使其形成平行的气流。在此期间,DCS气体分子、或由它们分解产生的分解产物的分子或原子被吸附在晶片上。此外,从气体分散喷嘴42的气体喷射孔42A,对晶舟12上的多片晶片W供给含有NH3气体的第二处理气体,使其形成平行的气流。在此期间,NH3气体的分子、或由它们分解产生的分解产物的分子或原子,与附着在晶片W表面的DCS气体分子等发生反应,由此在晶片W上形成氮化硅的单位层。再者,反之,在DCS气体流过晶片W表面附着有来源于NH3气体的物质的部位的情况下,也发生同样的反应,在晶片W上形成氮化硅的单位层。
另一方面,从气体分散喷嘴38的气体喷射孔38A,对晶舟12上的多片晶片W供给含有C2H4气体的第三处理气体,使其形成平行的气流。第三处理气体在通过一对电极74间的等离子体发生区域PS时,被有选择地激发,一部分等离子体化。由此,C2H4气体被活化,生成碳等自由基,这些自由基从气体激发部66的开口70向处理容器4的中心流出,以层流状态供给到晶片W相互之间。此时,在氮化硅的单位层中混入碳成分,形成含有碳成分的氮化硅(SiCN)的单位层。
反复进行形成该薄单位层的处理,叠层各循环中形成的薄单位层,由此形成规定厚度的含有碳成分的氮化硅膜。并且,由于第三处理气体被等离子体化,促进C2H4气体的反应(分解),生成大量碳等的自由基,因此能够将更多的碳成分导入氮化硅膜。
图4是表示本发明第一实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图4所示,在本实施方式的成膜方法中,交替地反复进行第一~第六工序T1~T6。即,多次反复进行由第一~第六工序T1~T6构成的循环,叠层每个循环中形成的SiCN薄膜(单位层),由此可以得到最终厚度的SiCN膜。
具体而言,在第一工序T1中,对处理区域5供给第一处理气体(图4中表示成DCS),另一方面停止对处理区域5供给第二处理气体(图4中表示成NH3)和第三处理气体(图4中表示成C2H4)。在第二工序T2中,停止对处理区域5供给第一、第二和第三处理气体。在第三工序T3中,对处理区域5供给第三处理气体,另一方面停止对处理区域5供给第一和第二处理气体。此外,在第三工序T3中,中途接通RF电源76,由气体激发部66使第三处理气体等离子体化,由此仅在辅助工序T3b期间,将第三处理气体在激发的状态下供给至处理区域5。在第四工序T4中,停止对处理区域5供给第一、第二和第三处理气体。在第五工序T5中,对处理区域5供给第二处理气体,另一方面停止对处理区域5供给第一和第三处理气体。在第六工序T6中,停止对处理区域5供给第一、第二和第三处理气体。
在第三工序T3中,经过规定的时间Δt后,接通RF电源76,由气体激发部66中将第三处理气体等离子体化,仅在辅助工序T3b期间,将C2H4气体在激发的状态下供给至处理区域5。所谓该规定的时间Δt,是直到第三处理气体的流量稳定的提前送气(pre-flow)时间,例如为5秒左右。但是,也可以贯穿第三处理气体供给期间的整个期间,由气体激发部66将第三处理气体等离子体化。通过这样在第三处理气体的流量稳定化后,接通RF电源,产生等离子体,能够提高晶片W的面间方向(高度方向)上的活性核的浓度均匀性。
此外,如果使用图3的结构,则在第五工序T5中也可以由气体激发部66将第二处理气体等离子体化,将NH3气体在激发的状态下供给至处理区域5。在这种情况下,NH3气体的活性核丰富,附着或堆积在晶片表面的碳成分被丰富化的NH3的活性核赶走,有可能使膜中的碳成分浓度降低。因此,在第五工序T5中,优选不使第二处理气体等离子体化。
第二、第四和第六工序T2、T4、T6,用作排除残留于处理容器4内的气体的扫吹工序。这里所谓扫吹意味着通过一边流过N2气等不活泼气体一边对处理容器4内真空排气,或者停止所有的气体的供给对处理容器4内进行真空排气,去除处理容器4内的残留气体。此外,也可以在第二、第四和第六工序T2、T4、T6的前半仅进行真空排气,后半一并进行真空排气和不活泼气体的供给。再者,在第一、第三和第五工序T1、T3、T5中,供给第一~第三处理气体时,可以停止处理容器4内的真空排气。但是,在一边对处理容器4内进行真空排气,一边供给第一~第三处理气体的情况下,可以贯穿全部的第一~第六工序T1~T6,持续进行处理容器4内的真空排气。
在图4中,第一工序T1设定成大约0.5~10秒,例如大约4秒;第二工序T2设定成大约0.5~10秒,例如大约5秒;第三工序T3设定成大约1.5~20秒,例如大约6秒;辅助工序T3b设定成大约1~7秒,例如大约5秒;第四工序T4设定成大约0.5~10秒,例如大约5秒;第五工序T5设定成大约0.5~50秒,例如大约30秒;第六工序T6设定成大约0.5~10秒,例如大约5秒。此外,通常,通过第一~第六工序T1~T6的一个循环形成的膜厚为0.048~0.13nm左右。因此,如果目标膜厚为例如70nm,则重复该循环600次左右。但是,这些时间或厚度仅是一个示例,不限于这些数值。
如上所述,隔着扫吹工序T2、T4、T6,交替地进行供给含有DCS气体的第一处理气体的工序T1,供给含有C2H4气体的第三处理气体并且包括由等离子体将其激发的期间的工序T3,和供给含有NH3气体的第二处理气体的工序T5。由此,可以使形成的SiCN膜的介电常数非常低,而且可以大幅度地提高其干蚀刻时的蚀刻耐性。本发明人认为,其理由如下。
即,通过将第三处理气体等离子体化,促进C2H4气体的反应(分解),生成大量碳的自由基。因此,即使是低温成膜,也可以在氮化硅膜中形成大量的Si-C键。这样一来,如果在膜中大量形成Si-C键,则可以大幅度地提高膜的蚀刻耐性。具体而言,即使以现有的成膜温度,例如低于760℃左右的低温,例如550℃成膜,也能够减小对该膜表面的清洗处理时或蚀刻处理时所用的稀氢氟酸的蚀刻速度。结果,能够防止清洗处理时膜的过度削去,提高该膜厚的控制性。此外,该膜也能够充分发挥作为蚀刻阻止膜或层间绝缘膜的功能。
此外,在一个循环的靠近最后的第五工序T5中,通过供给含有NH3气体的第二处理气体,能够在下一个循环的第一工序T1中,促进DCS气体对晶片W的吸附。结果,能够减少SiCN膜中的Si-H键,增加蚀刻耐性强的Si-N键。
此外,如上所述,在供给处理气体的第一、第三和第五工序之间停止处理气体的第二、第四和第六工序T2、T4、T6,作为将膜改性的期间发挥功能。在该期间之前刚刚成膜的SiCN膜表面在该期间中被改性,膜质得以提高。由此,能够进一步抑制SiCN膜的蚀刻速度。本发明人认为,该改性处理时的原子水平的作用如下所述。即,在含有碳原子的SiCN膜成膜时,在该薄膜的最表面上DCS气体中堆积时无法脱离的Cl原子在活化状态下键合。在停止供给处理气体的工序T2、T4、T6中,C2H4气体或NH3气体中的C原子或N原子与上述薄膜最表面的Cl原子置换,膜中的Cl成分减少,结果蚀刻速度降低。特别是,在用C2H4气体的情况下,由于进入膜中的C原子的量增加,所以能够进一步抑制蚀刻速度。
上述成膜处理的处理条件如下。DCS气体的流量在500~5000sccm的范围内,例如为1000sccm(1slm)。NH3气体的流量在100~10000sccm的范围内,例如1000sccm。C2H4气体的流量在100~2000sccm的范围内,例如500sccm。这里,C2H4气体的流量为DCS气体流量的三倍以下。其理由是因为如果作为碳氢化合物气体的C2H4气体的流量过多,则产生膜质急剧降低的不妥。
处理温度是低于通常的CVD处理的低温,具体而言,在300~700℃的范围内,优选550~650℃的范围内,例如630℃。如果处理温度低于300℃,则不发生反应,膜几乎不堆积。如果处理温度高于700℃,则形成膜质差的CVD引起的堆积膜,并且使已经形成的金属膜等产生热损伤。
处理压力在13Pa(0.1Torr)~1330Pa(10Torr)的范围内,优选40Pa(0.3Torr)~266Pa(2Torr)的范围内。例如,处理压力在第一工序(吸附工序)T1和第五工序(氮化工序)T3中为1Torr,在第三工序(使用等离子体的工序)T3中为0.3Torr。在处理压力小于13Pa的情况下,成膜速度为实用水平以下。处理压力在1330Pa以下,则对晶片W的反应,吸附反应是主流,所以使膜质良好的薄膜以高成膜速度稳定地堆积,能够得到良好的结果。但是,如果处理压力大于1330Pa,则反应形态从吸附反应向气相反应过渡,气相反应成为主流。结果,不仅膜的面间和面内均匀性降低,而且由气相反应引起的颗粒急剧地增大,因而不优选。
<第一实施方式的变形例1>
图5是表示本发明第一实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图5所示,第一实施方式的变形例1,除了在第一工序T1中,除含有DCS气体的第一处理气体外还供给含有NH3气体的第二处理气体这一点外,与第一实施方式相同。
根据第一实施方式的变形例1,能够发挥与第一实施方式同样的作用效果。此外,在同时供给DCS与NH3时,仅发生CVD反应,形成富硅的SiN膜。由此,在下面工序中供给C2H4 时,容易在SiN膜中的氮原子上吸附碳原子。结果,能够进一步提高最终形成的SiCN膜中的碳浓度。并且,通过同时供给DCS和NH3,能够提高成膜速度。
该变形例的气体流量、处理压力、处理温度等处理条件,可以与第一实施方式基本上相同。但是,优选增加DCS气体的流量,设定在NH3气体流量的5~10倍的范围内。其理由是因为如果过多加入NH3气体,则容易生成副产物NH4Cl。
<第一实施方式的变形例2>
图6是表示本发明第一实施方式的变形例2的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图6所示,第一实施方式的变形例2,除了在第一工序T1中,除含有DCS气体的第一处理气体外还供给含有C2H4气体的第三处理气体这一点外,与第一实施方式相同。
根据第一实施方式的变形例2,能够发挥与第一实施方式同样的作用效果。此外,在同时供给DCS与C2H4时,仅C2H4气体附着在晶片表面。由此,能够进一步提高最终形成的SiCN膜中的碳浓度。该变形例的气体流量、处理压力、处理温度等处理条件可以与第一实施方式基本上相同。
<第一实施方式的变形例3>
图7是表示本发明第一实施方式的变形例3的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图7所示,第一实施方式的变形例3,除了在第一工序T1中,除含有DCS气体的第一处理气体外还供给含有NH3气体的第二处理气体和含有C2H4气体的第三处理气体这一点外,与第一实施方式相同。
根据第一实施方式的变形例3,能够发挥与第一实施方式同样的作用效果。并且,根据第一实施方式的变形例3,可以期待第一实施方式的变形例1与变形例2的作用的协同效果。该变形例的气体流量、处理压力、处理温度等处理条件可以与第一实施方式基本上相同。但是如第一实施方式的变形例1中的说明,优选增加DCS气体的流量,设定在NH3气体流量的5~10倍的范围内。
<第二实施方式>
图8是表示本发明的第二实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图8所示,在本实施方式的成膜方法中,交替地反复进行第一~第四工序T11~T14。即,多次反复进行由第一~第四工序T11~T14构成的循环,叠层每个循环中形成的SiCN薄膜(单位层),由此可以得到最终厚度的SiCN膜。
具体而言,在第一工序T11中,对处理区域5供给第一处理气体(图8中表示成DCS)和第二处理气体(图8 中表示成NH3),另一方面停止对处理区域5供给第三处理气体(图8 中表示成C2H4)。在第二工序T12中,停止对处理区域5供给第一、第二和第三处理气体。在第三工序T3中,对处理区域5供给第三处理气体,另一方面停止对处理区域5供给第一和第二处理气体。此外,在第三工序T13中,中途接通RF电源76,由气体激发部66将第三处理气体等离子体化,由此仅在辅助工序T13b期间,将第三处理气体在激发的状态下供给至处理区域5。在第四工序T14中,停止对处理区域5供给第一、第二和第三处理气体。
换言之,第二实施方式对应于从第一实施方式的变形例1(参照图5)省略第五和第六工序T5、T6而缩短一个循环的期间的状态。根据第二实施方式,能够发挥与第一实施方式同样的作用效果。此外,由于省略工序T5、T6,所以可以提高处理能力。
第二实施方式的气体流量、处理压力、处理温度等处理条件,可以与第一实施方式基本上相同。但是,省略一个循环最后的第五和第六工序T5、T6,在同时供给NH3气体和DCS气体。因此,为了增强NH3气体对晶片表面的附着,与第一实施方式或其变形例1的情况相比,增加了NH3气体的流量。具体而言,优选将DCS气体与NH3气体的流量设定成1∶1左右。
<第二实施方式的变形例1>
图9是表示本发明第二实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图9所示,第二实施方式的变形例1,除了在第一工序T11中,在供给含有DCS气体的第一处理气体之前,先开始供给含有NH3的第二处理气体这一点外,与第二实施方式相同。换言之,第一工序T11包括单独使第二处理气体流过的提前送气期间T11f。
根据第二实施方式的变形例1,能够发挥与第二实施方式同样的作用效果。此外,在这种情况下,由于延长第二处理气体的供给时间,所以,来自NH3气体的氮对晶片表面的附着量增加,由此能够更多地吸附碳成分。该变形例的气体流量、处理压力、处理温度等处理条件,与第二实施方式相同。此外,在本第二实施方式的变形例1中,如点划线96所示,在第一工序T11中,依次连续地进行供给含有NH3气体的第二处理气体和供给含有DCS气体的第一处理气体。
<第三实施方式>
图10是表示本发明第三实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图10所示,在本实施方式的成膜方法中,交替地反复进行第一~第四工序T21~T24。即,多次反复进行由第一~第四工序T21~T24构成的循环,叠层每个循环中形成的SiCN薄膜(单位层),由此可以得到最终厚度的SiCN膜。
具体而言,在第一工序T21中,对处理区域5供给第一处理气体(图10中表示成DCS),另一方面停止对处理区域5供给第二处理气体(图10中表示成NH3)和第三处理气体(图10中表示成C2H4)。在第二工序T22中,停止对处理区域5供给第一、第二和第三处理气体。在第三工序T23中,对处理区域5供给第二和第三处理气体,另一方面停止对处理区域5供给第一处理气体。并且,在第三工序T23中,在供给第三处理气体之前,先开始供给第二处理气体,换言之,第三工序T23包括单独使第二处理气体流过的提前送气期间T23f。此外,在第三工序T23中,继此,在供给第三处理气体期间T23b中,通过接通RF电源76,由气体激发部66将第三处理气体等离子体化,将第三处理气体在激发的状态下供给至处理区域5。在第四工序T24中,停止对处理区域5供给第一、第二和第三处理气体。
根据第三实施方式,能够发挥与第一实施方式同样的作用效果。此外,由于省略了第一实施方式的工序T5、T6,所以能够提高处理能力。第三实施方式的气体流量、处理压力、处理温度等处理条件,可以与第一实施方式基本上相同。
<第三实施方式的变形例1>
图11是表示本发明第三实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图11所示,第三实施方式的变形例1,除了在第一工序T21中,除含有DCS气体的第一处理气体外还供给含有NH3气体的第二处理气体这一点外,与第三实施方式相同。
根据第三实施方式的变形例1,能够发挥与第三实施方式同样的作用效果。此外,在第一工序T21中,同时供给DCS与NH3,由此能够得到第一实施方式的变形例1中所述的优点。本变形例的气体流量、处理压力、处理温度等处理条件,可以与第三实施方式基本上相同。但是,优选加入第一实施方式的变形例1中所述的变更。
<第三实施方式的变形例2>
图12是表示本发明第三实施方式的变形例2的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图12所示,第三实施方式的变形例2,除了在第一工序T21中,除含有DCS气体的第一处理气体外还供给含有C2H4气体的第三处理气体这一点外,与第三实施方式相同。
根据第三实施方式的变形例2,能够发挥与第三实施方式同样的作用效果。此外,在第一工序T21中,同时供给DCS与C2H4,由此能够得到第一实施方式的变形例2中所述的优点。本变形例的气体流量、处理压力、处理温度等处理条件,可以与第三实施方式基本上相同。
<第三实施方式的变形例3>
图13是表示本发明第三实施方式的变形例3的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图13所示,第三实施方式的变形例3,除了在第一工序T21中,除含有DCS气体的第一处理气体外还供给含有NH3气体的第二处理气体和含有C2H4气体的第三处理气体这一点外,与第三实施方式相同。
根据第三实施方式的变形例3,能够发挥与第三实施方式同样的作用效果。并且,根据第三实施方式的变形例3,还可以期待第三实施方式的变形例1与变形例2作用的协同效果。本变形例的气体流量、处理压力、处理温度等处理条件,可以与第三实施方式基本上相同。但是优选加入第一实施方式的变形例1中所述的变更。
<第四实施方式>
图14是表示本发明第四实施方式的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图14所示,在本实施方式的成膜方法中,交替地反复进行第一~第五工序T31~T35。即,多次反复进行由第一~第五工序T31~T35构成的循环,叠层每个循环中形成的SiCN薄膜(单位层),由此能够得到最终厚度的SiCN膜。再者,在第四实施方式中,使用图3的结构,由气体激发部66将第二处理气体等离子体化,由此将NH3气体在激发的状态下供给至处理区域5。
具体而言,在第一工序T31中,对处理区域5供给第一处理气体(图14中表示成DCS),另一方面停止对处理区域5供给第二处理气体(图14中表示成NH3)和第三处理气体(图14中表示成C2H4)。在第二工序T32中,停止对处理区域5供给第一、第二和第三处理气体。在第三工序T33中,对处理区域5供给第三处理气体,另一方面停止对处理区域5供给第一和第二处理气体。此外,在第三工序T33中,中途接通RF电源76,由气体激发部66将第三处理气体等离子体化,由此仅在辅助工序T33b期间,将第三处理气体在激发的状态下供给至处理区域5。在第四工序T34中,对处理区域5供给第二处理气体,另一方面停止对处理区域5供给第一和第三处理气体。此外,在第四工序T34中,中途接通RF电源76,由气体激发部66将第二处理气体第离子体化,由此仅在辅助工序T34b期间,将第二处进气体在激发的状态下供给至处理区域5。在第五工序T35中,停止对处理区域5供给第一、第二和第三处理气体。
换言之,第四实施方式对应于将第一实施方式(参照图4)的第四工序(扫吹工序)T4置换成单独使第二处理气体流过的提前送气期间T34f的状态。此外,继提前送气期间T34f后,在辅助程序T34b中,由气体激发部66将第二处理气体等离子体化,将NH3气体在激发的状态下供给至处理区域5。通过如此激发NH3气体,能够更加完全地进行SiCN膜的氮化处理,并且,能够在短时间内进行氮化处理。第四实施方式的气体流量、处理压力、处理温度等处理条件,可以与第一实施方式基本上相同。
<第四实施方式的变形例1>
图15是表示本发明第四实施方式的变形例1的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图15所示,第四实施方式的变形例1,除了在第一工序T31中,除含有DCS气体的第一处理气体外还供给含有NH3气体的第二处理气体这一点外,与第四实施方式相同。
根据第四实施方式的变形例1,能够发挥与第四实施方式同样的作用效果。此外,通过在第工序T31中,同时供给DSC与NH3,能够得到第一实施方式的变形例1中所述的优点。本变形例的气体流量、处理压力、处理温度等处理条件,可以与第四实施方式基本上相同。但是,优选加入第一实施方式的变形例1中所述的变更。
<第四实施方式的变形例2>
图16是表示本发明第四实施方式的变形例2的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图16所示,第四实施方式的变形例2,除了在第一工序T31中,除含有DCS气体的第一处理气体外还供给含有C2H4气体的第三处理气体这一点外,与第四实施方式相同。
根据第四实施方式的变形例2,能够发挥与第四实施方式同样的作用效果。此外,通过在第一工序T31中,同时供给DSC与C2H4,能够得到在第一实施方式的变形例2中所述的优点。本变形例的气体流量、处理压力、处理温度等处理条件,可以与第四实施方式基本上相同。
<第四实施方式的变形例3>
图17是表示本发明第四实施方式的变形例3的成膜方法中,气体供给和RF(高频)施加状态的时间图。如图17所示,第四实施方式的变形例3,除了在第一工序T31中,除含有DCS气体的第一处理气体外还供给含有NH3气体的第二处理气体和含有C2H4气体的第三处理气体这一点外,与第四实施方式相同。
根据第四实施方式的变形例3,能够发挥与第四实施方式同样的作用效果。并且,根据第四实施方式的变形例3,可以期待第四实施方式的变形例1与变形例2作用的协同效果。本变形例的气体流量、处理压力、处理温度等处理条件,可以与第四实施方式基本上相同。但是优选加入在第一实施方式的变形例1中所述的变更。
<实验>
使用图1所示的装置,采用上述本发明实施方式(包括变形例)的几个成膜方法,形成绝缘膜,对其进行评价。此外,作为比较例CE,采用不将含有C2H4气体的第三处理气体等离子体化、而将含有NH3气体的第二处理气体等离子体化的成膜方法(US 2006/0205231 A1中公开的方法),形成绝缘膜,对其进行评价。图18是表示比较例CE的成膜方法中,气体供给和RF(高频)施加状态的时间图。
作为本发明的实施例,进行了图5所示的第一实施方式的变形例1的实施例PE1-1、图6所示的第一实施方式的变形例2的实施例PE1-2、图13所示的第三实施方式的变形例3的实施例PE3-3、和图16所示的第四实施方式的变形例2的实施例PE4-2。这四个实施例的处理条件,根据与第一~第四实施方式相关的说明的条件进行设定。比较例CE的处理条件,除了各气体的供给时间以外,与本发明的四个实施例同样地设定。
图19A表示通过实验得到的比较例CE和实施例PE1-1、PE1-2、PE3-3、PE4-2中SiCN膜中的碳浓度Nc(1×1019atoms/cc)的图表。如图19A所示,比较例CE的SiCN膜中的碳浓度Nc为9.5×1019atoms/cc,比较低。反之,实施例PE1-1、PE1-2、PE3-3、PE4-2的SiCN膜中的碳浓度Nc,分别为738×1019 atoms/cc、2240×1019atoms/cc、603×1019atoms/cc、2830×1019atoms/cc,非常高。因而,可以确认,采用本发明实施方式的方法,能够大幅度提高SiCN膜中的碳浓度。
图19B表示通过实验得到的比较例CE和实施例PE1-1、PE1-2、PE3-3、PE4-2中SiCN膜由DHF(稀氢氟酸)引起的蚀刻速度ER(1×10-10m/min)的图表。如图19B所示,比较例CE的SiCN膜的蚀刻速度ER为4.6×10-10m/min,比较高。反之,实施例PE1-1、PE1-2、PE3-3、PE4-2的SiCN膜的蚀刻速度ER为0.55×10-10m/min、0.15×10-10m/min、1.59×10-10m/min、0.88×10-10m/min,非常低。因此,可以确认,采用本发明实施方式的方法,能够大幅度地降低SiCN膜由DHF引起的蚀刻速度。
<第一~第四实施方式中共同的事项>
如上所述,第一~第四实施方式(包括变形例)的方法,基于处理程序,在主控制部60的控制下实行。图20是示意性的表示主控制部60结构的框图。主控制部60具有CPU 210,这里,连接有存储部212、输入部214、输出部216等。在存储部212中,储存有处理程序或处理方案。输入部214包括用于与使用者对话的输入装置、例如键盘或指示器,和存储介质的驱动等。输出部216输出用于控制处理装置各机器的控制信号。图20还一并示出可在计算机上装拆的存储介质218。
上述实施方式的方法,作为用于在处理器上执行的程序指令,写入可由计算机读取的通信介质,可适用于各种半导体处理装置。或者,这种程序指令,由存储介质传送,可适用于各种半导体处理装置。存储介质,例如,是磁盘(软盘、硬盘(一个例子是存储部212所含的硬盘)等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。控制半导体处理装置动作的计算机,读入储存在存储介质中的程序指令,通过将其在处理器上执行,实行上述方法。
在上述实施方式中,作为第一处理气体中的硅烷类气体,可以举出DCS气体。关于这一点,作为硅烷类气体,可以使用选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氨烷(HMDS)、四氯硅烷(TCS)、二甲硅烷基胺(DSA,disilylamine)、三甲硅烷基胺(TSA,trisilylamine)、双叔丁氨基硅烷(BTBAS)中的1种以上的气体。在上述实施方式中,作为第二处理气体中的氮化气体,可以举出NH3气体。取而代之,可以用N2气作为氮化气体。在上述实施方式中,作为第三处理气体中的碳氢化合物气体,可以举出乙烯气体。关于这一点,可以使用选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷中的1种或2种以上的气体。作为被处理基板,不限于半导体晶片,也可以是LCD基板、玻璃基板等其他基板。
其他优点和改型对于本领域技术人员将是显而易见的。因此,本发明的更广泛的实施方式不局限于在此显示和说明的具体细节和代表性的实施例。因此,可进行不同的改型,而不脱离由所附权利要求及其等效物所确定的总体发明构思的实质和范围。

Claims (31)

1.一种半导体处理用的成膜方法,其特征在于:
在可选择地供给含有硅烷类气体的第一处理气体、含有氮化气体的第二处理气体和含有碳氢化合物气体的第三处理气体的处理区域内,通过CVD在被处理基板上形成绝缘膜,
所述成膜方法具有反复向所述处理区域供给所述第一处理气体、
所述第二处理气体、所述第三处理气体的处理气体供给工序,
在所述处理气体供给工序中,供给所述第三处理气体的期间,包括将所述第三处理气体在由激发机构激发而等离子体化的状态下供给至所述处理区域的激发期间。
2.如权利要求1所述的方法,其特征在于:
供给所述第三处理气体的期间,还包括在所述激发期间之前,将所述第三处理气体在不由所述激发机构激发的状态下供给至所述处理区域的期间。
3.如权利要求1所述的方法,其特征在于:
所述第一处理气体含有选自二氯硅烷、六氯乙硅烷、甲硅烷、乙硅烷、六甲基二硅氨烷、四氯硅烷、二甲硅烷基胺、三甲硅烷基胺、双叔丁氨基硅烷中的1种以上的气体,
所述第二处理气体含有选自氨气、氮气中的1种以上的气体,
所述第三处理气体含有选自乙炔、乙烯、甲烷、乙烷、丙烷、丁烷中的1种以上的气体。
4.如权利要求1所述的方法,其特征在于,
所述处理气体供给工序交替地包括:
对所述处理区域供给所述第一处理气体的第一工序;
停止对所述处理区域供给第一、第二和第三处理气体的第二工序;
对所述处理区域供给所述第三处理气体,另一方面停止对所述处理区域供给所述第一和第二处理气体的第三工序;
停止对所述处理区域供给第一、第二和第三处理气体的第四工序;
对所述处理区域供给所述第二处理气体,另一方面停止对所述处理区域供给所述第一和第三处理气体的第五工序;和
停止对所述处理区域供给第一、第二和第三处理气体的第六工序,
所述第三工序包括所述激发期间。
5.如权利要求4所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一处理气体,另一方面停止对所述处理区域供给所述第二和第三处理气体。
6.如权利要求4所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一和第二处理气体,另一方面停止对所述处理区域供给所述第三处理气体。
7.如权利要求4所述的方法,其特征在于:
所述第一工序对所述处理区域供给第一和第三处理气体,另一方面停止对所述处理区域供给所述第二处理气体。
8.如权利要求4所述的方法,其特征在于:
所述第一工序对所述处理区域供给第一、第二和第三处理气体。
9.如权利要求4所述的方法,其特征在于:
所述第二、第四和第六工序分别包括对所述处理区域供给扫吹气体的期间。
10.如权利要求4所述的方法,其特征在于:
从所述第一工序到所述第六工序,持续进行所述处理区域内的排气。
11.如权利要求1所述的方法,其特征在于,
所述处理气体供给工序交替地包括:
对所述处理区域供给所述第一和第二处理气体,另一方面停止对所述处理区域供给所述第三处理气体的第一工序;
停止对所述处理区域供给第一、第二和第三处理气体的第二工序;
对所述处理区域供给所述第三处理气体,另一方面停止对所述处理区域供给所述第一和第二处理气体的第三工序;和
停止对所述处理区域供给第一、第二和第三处理气体的第四工序,
所述第三工序包括所述激发期间。
12.如权利要求11所述的方法,其特征在于:
所述第一工序中,在对所述处理区域供给所述第一处理气体之前,先开始供给所述第二处理气体。
13.如权利要求11所述的方法,其特征在于:
所述第一工序,依次连续地进行对所述处理区域供给所述第二处理气体和对所述处理区域供给所述第一处理气体。
14.如权利要求11所述的方法,其特征在于:
所述第二和第四工序分别包括对所述处理区域供给扫吹气体的期间。
15.如权利要求11所述的方法,其特征在于:
从所述第一工序至所述第四工序,持续进行所述处理区域内的排气。
16.如权利要求1所述的方法,其特征在于,
所述处理气体供给工序交替地包括:
对所述处理区域供给所述第一处理气体的第一工序;
停止对所述处理区域供给第一、第二和第三处理气体的第二工序;
对所述处理区域供给所述第二和第三处理气体,另一方面停止对所述处理区域供给所述第一处理气体的第三工序;和
停止对所述处理区域供给第一、第二和第三处理气体的第四工序,
所述第三工序包括所述激发期间。
17.如权利要求16所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一处理气体,另一方面停止对所述处理区域供给所述第二和第三处理气体。
18.如权利要求16所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一和第二处理气体,另一方面停止对所述处理区域供给所述第三处理气体。
19.如权利要求16所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一和第三处理气体,另一方面停止对所述处理区域供给所述第二处理气体。
20.如权利要求16所述的方法,其特征在于:
所述第一工序对所述处理区域供给第一、第二和第三处理气体。
21.如权利要求16所述的方法,其特征在于:
所述第二和第四工序分别包括对所述处理区域供给扫吹气体的期间。
22.如权利要求16所述的方法,其特征在于:
从所述第一工序至所述第四工序,持续进行所述处理区域内的排气。
23.如利要求1所述的方法,其特征在于,
所述处理气体供给工序交替地包括:
对所述处理区域供给所述第一处理气体的第一工序;
停止对所述处理区域供给第一、第二和第三处理气体的第二工序;
对所述处理区域供给所述第三处理气体,另一方面停止对所述处理区域供给所述第一和第二处理气体的第三工序;
对所述处理区域供给所述第二处理气体,另一方面停止对所述处理区域供给所述第一和第三处理气体的第四工序,所述第四工序包括将所述第二处理气体在由激发机构激发的状态下供给至所述处理区域的激发期间;和
停止对所述处理区域供给第一、第二和第三处理气体的第五工序,
所述第三工序包括所述激发期间。
24.如权利要求23所述的方法,其特征在于:
所述第四工序,还包括在所述激发期间之前,将所述第二处理气体在不由所述激发机构激发的状态下供给至所述处理区域的期间。
25.如权利要求23所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一处理气体,另一方面停止对所述处理区域供给所述第二和第三处理气体。
26.如权利要求23所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一和第二处理气体,另一方面停止对所述处理区域供给所述第三处理气体。
27.如权利要求23所述的方法,其特征在于:
所述第一工序对所述处理区域供给所述第一和第三处理气体,另一方面停止对所述处理区域供给所述第二处理气体。
28.如权利要求23所述的方法,其特征在于:
所述第一工序对所述处理区域供给第一、第二和第三处理气体。
29.如权利要求23所述的方法,其特征在于:
所述第二和第五工序分别包括对所述处理区域供给扫吹气体的期间。
30.如权利要求23所述的方法,其特征在于:
从所述第一工序至所述第五工序,持续进行所述处理区域内的排气。
31.一种半导体处理用的成膜装置,其特征在于:
包括:处理容器,其具有收纳被处理基板的处理区域;
支承部件,其在所述处理区域内支承所述被处理基板;
加热器,其加热所述处理区域内的所述被处理基板;
排气系统,其对所述处理区域内进行排气;
第一处理气体供给系统,其向所述处理区域供给含有硅烷类气体的第一处理气体;
第二处理气体供给系统,其向所述处理区域供给含有氮化气体的第二处理气体;
第三处理气体供给系统,其向所述处理区域供给含有碳氢化合物气体的第三处理气体;
设置在所述处理容器的侧壁的一部分上的激发机构;和
控制部,其控制所述装置的动作,
其中,所述控制部用于通过CVD在所述被处理基板上形成绝缘膜,
反复进行:对所述处理区域供给所述第一处理气体;
对所述处理区域供给所述第二处理气体;和
对所述处理区域供给所述第三处理气体,
并且,所述第三处理气体的供给,包括将所述第三处理气体在由所述激发机构激发的状态下供给至所述处理区域的激发期间。
CN2007100019987A 2006-01-16 2007-01-16 半导体处理用成膜方法和装置 Expired - Fee Related CN101005029B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2006007951 2006-01-16
JP2006007951A JP4434149B2 (ja) 2006-01-16 2006-01-16 成膜方法、成膜装置及び記憶媒体
JP2006-007951 2006-01-16

Publications (2)

Publication Number Publication Date
CN101005029A CN101005029A (zh) 2007-07-25
CN101005029B true CN101005029B (zh) 2013-01-02

Family

ID=38263787

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007100019987A Expired - Fee Related CN101005029B (zh) 2006-01-16 2007-01-16 半导体处理用成膜方法和装置

Country Status (5)

Country Link
US (1) US7507676B2 (zh)
JP (1) JP4434149B2 (zh)
KR (1) KR101141870B1 (zh)
CN (1) CN101005029B (zh)
TW (1) TWI409858B (zh)

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5064296B2 (ja) * 2008-05-21 2012-10-31 東京エレクトロン株式会社 シリコン炭窒化膜の形成方法および形成装置
DE102008034330A1 (de) * 2008-07-23 2010-01-28 Ionbond Ag Olten CVD-Reaktor zur Abscheidung von Schichten aus einem Reaktionsgasgemisch auf Werkstücken
WO2010038885A1 (ja) * 2008-09-30 2010-04-08 東京エレクトロン株式会社 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JPWO2010038888A1 (ja) * 2008-09-30 2012-03-01 東京エレクトロン株式会社 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP5651451B2 (ja) * 2010-03-16 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5841222B2 (ja) * 2010-04-12 2016-01-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5654862B2 (ja) * 2010-04-12 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
JP5872141B2 (ja) * 2010-05-20 2016-03-01 東京エレクトロン株式会社 基板処理装置、その制御装置およびその制御方法
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR20120106453A (ko) * 2011-03-18 2012-09-26 삼성디스플레이 주식회사 유기 발광 표시 장치 및 그 제조 방법
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6030378B2 (ja) * 2012-08-14 2016-11-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6022276B2 (ja) * 2012-09-20 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
WO2015045164A1 (ja) 2013-09-30 2015-04-02 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6158111B2 (ja) * 2014-02-12 2017-07-05 東京エレクトロン株式会社 ガス供給方法及び半導体製造装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US20150252477A1 (en) * 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
JP6340251B2 (ja) 2014-05-30 2018-06-06 東京エレクトロン株式会社 SiCN膜の成膜方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6361048B2 (ja) * 2014-12-02 2018-07-25 宇部興産株式会社 金属炭窒化膜又は半金属炭窒化膜の製造方法及び金属炭窒化膜又は半金属炭窒化膜の製造装置
TWI676709B (zh) * 2015-01-22 2019-11-11 美商應用材料股份有限公司 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
JP6529956B2 (ja) 2016-12-28 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1701424A (zh) * 2003-01-24 2005-11-23 东京毅力科创株式会社 在被处理基板上形成硅氮化膜的cvd方法
CN1712560A (zh) * 2004-06-24 2005-12-28 东京毅力科创株式会社 垂直cvd装置和使用它的cvd方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) * 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JP3529989B2 (ja) * 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
KR100560867B1 (ko) * 2000-05-02 2006-03-13 동경 엘렉트론 주식회사 산화방법 및 산화시스템
CN100373559C (zh) * 2002-01-15 2008-03-05 东京毅力科创株式会社 形成含硅绝缘膜的cvd方法和装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1701424A (zh) * 2003-01-24 2005-11-23 东京毅力科创株式会社 在被处理基板上形成硅氮化膜的cvd方法
CN1712560A (zh) * 2004-06-24 2005-12-28 东京毅力科创株式会社 垂直cvd装置和使用它的cvd方法

Also Published As

Publication number Publication date
JP2007189173A (ja) 2007-07-26
KR101141870B1 (ko) 2012-05-08
KR20070076478A (ko) 2007-07-24
TWI409858B (zh) 2013-09-21
CN101005029A (zh) 2007-07-25
US7507676B2 (en) 2009-03-24
US20070167028A1 (en) 2007-07-19
JP4434149B2 (ja) 2010-03-17
TW200739691A (en) 2007-10-16

Similar Documents

Publication Publication Date Title
CN101005029B (zh) 半导体处理用成膜方法和装置
CN101135046B (zh) 半导体处理用的成膜方法和装置
CN101325160B (zh) 半导体处理用的成膜方法和装置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
CN100554506C (zh) 半导体处理用的成膜方法及装置
CN101481794B (zh) 半导体处理的成膜方法和装置
CN101713067B (zh) 成膜方法及成膜装置
CN100426474C (zh) 半导体工艺的成膜方法和装置
CN101488452B (zh) 半导体处理用的成膜方法和装置
CN101252087B (zh) SiCN膜形成方法及形成装置
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
CN101051606B (zh) 立式等离子体处理装置和半导体处理方法
CN1837404B (zh) 成膜装置和成膜方法
CN101106075B (zh) 半导体处理的成膜装置和此装置的使用方法
CN101154589A (zh) 形成硅氧化膜的成膜方法和装置
CN100594588C (zh) 氮化硅膜形成方法及装置
CN101381861B (zh) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20070725

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130102

Termination date: 20160116

EXPY Termination of patent right or utility model