CN100426474C - 半导体工艺的成膜方法和装置 - Google Patents

半导体工艺的成膜方法和装置 Download PDF

Info

Publication number
CN100426474C
CN100426474C CNB2005100873066A CN200510087306A CN100426474C CN 100426474 C CN100426474 C CN 100426474C CN B2005100873066 A CNB2005100873066 A CN B2005100873066A CN 200510087306 A CN200510087306 A CN 200510087306A CN 100426474 C CN100426474 C CN 100426474C
Authority
CN
China
Prior art keywords
gas
processing region
supply
handle
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100873066A
Other languages
English (en)
Other versions
CN1881541A (zh
Inventor
长谷部一秀
冈田充弘
周保华
金采虎
小川淳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1881541A publication Critical patent/CN1881541A/zh
Application granted granted Critical
Publication of CN100426474C publication Critical patent/CN100426474C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种成膜方法,在能够选择性地供给含有硅烷系气体的第一处理气体和含有氮化气体或氮氧化气体的第二处理气体以及含有掺杂气体的第三处理气体的处理区域内,通过CVD在被处理基板上形成含有杂质的氮化硅膜或氮氧化硅膜。该成膜方法交叉地具有第一至第四工序。在第一工序中,向处理区域内供给第一和第三处理气体。在第二工序中,停止向处理区域内供给第一、第二和第三处理气体。在第三工序中,向处理区域内供给第二处理气体,而停止向处理区域供给第一和第三处理气体。第三工序具有将由激发机构使之处于激发状态的第二处理气体供给至处理区域的激发期间。在第四工序中,停止向处理区域内供给第一、第二和第三处理气体。

Description

半导体工艺的成膜方法和装置
技术领域
本发明涉及在半导体晶片等被处理基板上形成含有杂质的氮化硅膜或者氮氧化硅膜的半导体处理用的成膜方法和装置。这里,所谓的“半导体处理”是指,在晶片或者LCD(液晶显示器)或者FPD(平板显示器)用的玻璃基板等的被处理基板上,以规定的图样形成半导体层、绝缘层、导电层等,为了制造在该被处理基板上所包括的半导体装置或者连接在半导体装置上的配线、电极等结构体所实行的各种处理。
背景技术
在构成半导体集成电路的半导体装置的制造过程中,在被处理基板上,例如在半导体晶片上实施成膜、蚀刻、氧化、扩散、改质、退火、除去自然氧化膜等各种处理。在(日本专利)特开2004-6801号公报中,公开有在纵型的(所谓的“分批式的”)热处理装置中的这种半导体处理方法。在该方法中,首先将半导体晶片从晶片盒移载于纵型的晶片舱上并被多段支撑。在晶片盒中,例如可以装入25片晶片,在晶片舱中可以载置30~150片晶片。然后,从处理容器的下方将晶片舱装载在其内部,同时,将处理容器密封。然后,在处理气体的流量、处理压力、处理温度等各种处理条件得到了控制的状态下,进行特定的热处理。
过去,作为半导体装置的绝缘膜,主要使用氧化硅膜(SiO2膜)。但是近年来,伴随着半导体集成电路更加高度的集成化和高度微细化,根据用途而使用氮化硅膜(Si3N4膜)来代替氧化硅膜。例如,氮化硅膜作为耐氧化膜、防止杂质扩散膜、栅电极结构的侧壁膜而被配置。由于氮化硅膜的杂质扩散系数低,而且氧化隔离性能高,所以非常适于作为上述绝缘膜。
另一方面,近年来,半导体装置的动作速度的高速化也正在成为重要的因素。针对这一点,对于氮化硅膜来说,由于因介电常数比较高而使其寄生电容增大,所以存在问题。这就是说,当寄生电容增大时,电子的迁移度被抑制,从而使装置的动作速度降低。此外,当在电荷蓄积型的传感器中使用氮化硅膜的情况下,也会产生由于寄生电容而使背景水平(background level)增大的问题。
从这样的观点出发而提出了通过在氮化硅膜中掺入杂质来维持杂质的扩散系数和氧化阻隔性并同时使介电常数降低的方案。在(日本专利)特开平6-34974号公报揭示了一种通过CVD(化学气相淀积法)来形成掺入有作为杂质的硼(B)的氮化硅膜的方法。对于该含有硼的氮化硅膜(SiBN)来说,因为其杂质的扩散系数比较低且氧化阻隔性高,而介电常数却非常低,所以作为绝缘膜是非常优异的。
然而,对于通过CVD形成的含有硼的氮化硅膜来说,其比较脆,且耐蚀刻性比较低。因此,在后续的工序中,例如在进行干蚀刻(dryetching)的情况下,会产生使含有硼的氮化硅膜过度地被蚀刻的问题。
发明内容
本发明的目的在于提供一种能够形成低介电常数并且高耐蚀刻性的、含有杂质的氮化硅膜或者氮氧化硅膜的半导体处理用的成膜方法和装置。
本发明的第一方面是一种半导体处理用的成膜方法,在能够选择性地供给含有硅烷系气体的第一处理气体和含有氮化气体或者氮氧化气体的第二处理气体以及含有掺杂气体的第三处理气体的处理区域内,通过CVD在被处理基板上形成含有杂质的氮化硅膜或者氮氧化硅膜,其中,该方法包括:
向所述处理区域供给所述第一以及第三处理气体的第一工序;
停止向所述处理区域供给所述第一、第二以及第三处理气体,并对所述处理容器进行真空排气的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一以及第三处理气体的第三工序,其中,所述第三工序包括所述第二处理气体在通过激发机构处于被激发的状态下而被供给到所述处理区域的激发期间;和
停止向所述处理区域供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第四工序。
本发明的第二方面是一种半导体处理用的成膜装置,其中,该装置包括:
具有收纳被处理基板的处理区域的处理容器;
在所述处理区域内支撑所述被处理基板的支持部件;
加热所述处理区域内的所述被处理基板的加热器;
对所述处理区域内进行排气的排气系统;
向所述处理区域内供给含有硅烷系气体的第一处理气体的第一处理气体供给系统;
向所述处理区域内供给含有氮化气体或者氮氧化气体的第二处理气体的第二处理气体供给系统;
向所述处理区域内供给含有掺杂气体的第三处理气体的第三处理气体供给系统;
选择性地对供给到所述处理区域内的第二处理气体进行激发的激发机构;和
控制所述装置的动作的控制部,其中,
所述控制部为了通过CVD在所述被处理基板上形成含有杂质的氮化硅膜或者氮氧化硅膜而实施以下工序:
向所述处理区域供给所述第一以及第三处理气体的第一工序;
停止向所述处理区域供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一以及第三处理气体的第三工序,其中,所述第三工序包括所述第二处理气体在通过激发机构而处于被激发的状态下被供给到所述处理区域的激发期间;和
停止向所述处理区域内供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第四工序。
本发明的第三方面是一种在含有用于在处理器中运行的程序指令的计算机上可读取的存储介质,其中,
当通过处理器运行所述程序指令时,在能够选择性地供给含有硅烷系气体的第一处理气体和含有氮化气体或者氮氧化气体的第二处理气体以及含有掺杂气体的第三处理气体的处理区域内,在通过CVD在被处理基板上形成含有杂质的氮化硅膜或者氮氧化硅膜的半导体处理用的成膜装置中,交互地进行下述各工序:
向所述处理区域供给所述第一和第三处理气体的第一工序;
停止向所述处理区域供给所述第一、第二和第三处理气体的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一和第三处理气体的第三工序,其中,所述第三工序包括所述第二处理气体在通过激发机构而处于激发的状态下被供给到所述处理区域的激发期间;和
停止向所述处理区域供给所述第一、第二和第三处理气体的第四工序。
在第一至第三方面中,上述第一处理气体是含有选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氨烷(HMDS)、四氯硅烷(TCS)、乙硅烷基氨(DSA)、三硅烷基氨(TSA)和双叔丁基氨基硅烷(BTBAS)中的一种以上的气体,上述第二处理气体含有选自氨气、氮气、一氧化二氮和一氧化氮中的一种以上的气体。上述掺杂气体可以含有选自BCl3、B2H6、BF3和B(CH3)3中的至少一种气体。
本发明的其它目的以及优点将在下述实施方式中进行说明。通过下述说明或者具体实施方式可以明显获知其中一部分。本发明的目的以及其优点将通过下述的特别的实施方式与组合而获知。
附图说明
本发明内容中的附图用于表示本发明的优选方式,加之上述的概括说明以及下述的具体实施方式的说明,以便对本发明的目的进行说明。
图1是表示涉及本发明实施方式的成膜装置(纵型CVD装置)的截面图。
图2是表示在图1中所示装置的一部分的横断平面图。
图3是表示在涉及本发明的第一实施方式的成膜方法中的气体供给和RF(高频电压)施加方式的时序图。
图4是表示BCl3的气体流量和介电常数之间的关系图。
图5是表示SiBN膜的折射率和介电常数之间的关系图。
图6是表示折射率和蚀刻速度之间的关系图。
图7是表示BCl3的流量与蚀刻速度之间的关系图。
图8是表示在涉及本发明的第二实施方式的成膜方法中的气体的供给和RF(高频电压)的施加方式的时序图。
图9是表示在涉及第一和第二实施方式的成膜方法中的成膜速度以及其改善率的曲线图。
图10A、10B是分别大致表示NMOS晶体管和PMOS晶体管的截面简图。
图11A、11B是表示BCl3的气体流量和膜的应力之间的关系图。
图12是表示主控制部的结构的简要框图。
具体实施方式
下面参照附图来说明本发明的实施方式。在下面的说明中,对于具有大致相同的功能和结构的结构要素,标注同一符号,并且只在必要的情况下才对其进行重复说明。
图1是表示涉及本发明实施方式的成膜装置(纵型CVD装置)的截面图。图2是表示图1所示的装置的一部分的横断平面图。该成膜装置2构成为:使用含有作为硅烷系气体的二氯硅烷(DCS)气体的第一处理气体(原料气体)、含有作为氮化气体的氨(NH3)气体的第二处理气体(支援气体)、以及含有作为掺杂气体的BCl3气体的第三处理气体(辅助气体),来形成含有作为杂质的硼的氮化硅膜(SiBN)。
成膜装置2具有下端敞口的带有天井的圆筒状的处理容器4,在内部设置有用来收纳隔开间隔而被累放的多片半导体晶片(被处理基板)并进行处理的处理区域5。整个处理容器4例如由石英制成。在处理容器4内的天井部位配置有石英制成的天井板6来进行密封。在处理容器4的下端开口部位,通过O形圈等密封构件10与形成为圆筒状的总管8连结。
总管8例如由不锈钢制成,支撑处理容器4的下端。石英制成的晶片舱12通过总管8的下端开口来进行升降,通过这样来对处理容器4进行晶片舱12的装载或者卸载。在晶片舱12中,作为被处理基板,多段地载置有多片半导体晶片W。例如,在本实施方式中,在晶片舱12的支柱12A上,能够以大致相等的间距而多段地支撑例如50~100片左右的直径为300mm的晶片W。
晶片舱12通过石英制成的保温筒14而被载置在操作台16上。操作台16被支撑在贯通开闭总管8的下端开口的例如不锈钢制成的盖体18的旋转轴20上。
在旋转轴20的贯通部位,例如设置有密封磁性流体22,在密封旋转轴20同时可旋转地被支撑。在盖体18的周边部和总管8的下端部例如设置有由O形圈等制成的密封构件24,以保持容器内的密封性。
旋转轴20被安装在例如支撑于晶片舱升降机等升降机构25上的臂柄26的前端。通过升降机构25而使晶片舱12以及盖体18等一体地升降。其中,也可以将操作台16固定在盖体18一侧来设置,不会使晶片舱12旋转地来进行晶片W的处理。
在总管8的侧面,连接着向处理容器4内的处理区域5供给预定处理气体的气体供给部。气体供给部包括支援气体供给系统(第二处理气体供给系统)28、原料气体供给系统(第一处理气体供给系统)30、辅助气体供给系统(第三处理气体供给系统)31以及清洁气体供给系统32。原料气体供给系统30供给含有DCS(二氯硅烷)作为硅烷系气体的原料气体。支援气体供给系统28供给含有氨气(NH3)作为氮化气体的支援气体。辅助气体供给系统31供给含有BCl3作为掺杂气体的辅助气体。清洁气体供给系统32供给惰性气体、例如氮气N2作为清洁气体。在原料气体、支援气体和辅助气体(第一、第二和第三处理气体)中,根据需要可以混入适量的载体气体,但在下面为了使说明简化,并不对载体气体进行说明。
具体地说,支援气体供给系统28、原料气体供给系统30和辅助气体供给系统31分别具有气体分散喷嘴34、36、37,它们是由向内侧贯通总管8的侧壁并向上方转弯延伸的石英管所制成(参照图2)。在各气体分散喷嘴34、36、37上,沿着其长度的方向(上下方向)并且以跨过晶片舱12上的全部晶片W的方式隔开规定的间隔而形成有多个气体喷射孔34A、36A和37A。各气体喷射孔34A、36A、37A在水平方向上大致均匀地供给支援气体(含有NH3气体)、原料气体(含有DCS)和辅助气体(含有BCl3气体),使得相对于晶片舱12上的多片晶片W形成平行的气流。另一方面,清洁气体供给系统32设置有贯通总管8的侧壁的较短的气体喷嘴38。
喷嘴34、36、37、38分别通过气体供给管线(气体通道)42、44、45、46而连接着NH3气体、DCS气体、BCl3气体和N2气体的气源28S、30S、31S和32S。在气体供给管线42、44、45和46上安装有开闭阀42A、44A、45A、46A和质量流量控制器之类的流量控制器42B、44B、45B和46B。因此,能够分别对NH3气体、DCS气体、BCl3气体和N2气体的流量进行控制的同时来供给这些气体。
在一部分处理容器4的侧壁上,沿着其高度的方向配置有气体激发部50。在与气体激发部50相对的处理容器4的另一侧配置有细长的排气口52,其用来排出内部的环境气体而形成真空,例如通过向着上下方向切去一部分处理容器4的侧壁而形成。
具体地说,气体激发部50具有通过沿着上下方向以规定的宽度切去处理容器4的侧壁而形成的上下细长的开口54。开口54由密封地焊接接合在处理容器4的外壁上的石英制盖体56所掩盖。盖体56以向处理容器4的外侧突出的方式而形成截面凹状,且具有上下细长的形状。
根据该构造而形成了从处理容器4的侧壁突出并向处理容器4内开口的气体激发部50。即,气体激发部50的内部空间与处理容器4内的处理区域5相连通。开口54在上下方向上足够地长,使得在高度方向上能够掩盖住保持在晶片舱12内的所有晶片W。
在盖体56的两侧壁的外侧面上,沿着其长度方向(上下方向)以互相相对的方式而配置有细长的一对电极58。电极58通过给电线62而与等离子体产生用的高频电源60连接。通过向电极58施加例如13.56MHz的高频电压,而在一对电极58间产生用于激发等离子体的高频电场。其中,高频电压的频率不限于13.56MHz,也可以使用其他频率,例如使用400kHz等。
对于支援气体(第二处理气体)分散喷嘴34来说,在比晶片舱12上的最下层位置的晶片W还低的位置,向处理容器4的半径方向外方弯曲。其后,气体分散喷嘴34在气体激发部50内的最里面(离处理容器4的中心最远的部分)的位置垂直地立起。如图2所示,气体分散喷嘴34被设置在比夹在一对对向电极58之间的区域(高频电场最强的位置),即比实际产生主要等离子体的等离子体产生区域PS更靠向外侧的位置。含有从气体分散喷嘴34的气体喷射孔34A喷射的NH3气体的第二处理气体向等离子体产生区域PS而被喷射,在这里被激发(分解或者活性化),在该状态下向晶片舱12内的晶片W进行供给。
在盖体56的外侧安装有例如由石英制成的绝缘保护盖64,从而将其掩盖。在绝缘保护盖64的内侧与电极58相对的部分,配置有由制冷剂通路构成的冷却机构(未图示)。通过向制冷剂通路中流动例如被冷却的氮气来冷却电极58。其中,在绝缘保护盖64的外侧,为了掩盖其以防止高频泄露而配置有护罩(未图示)。
在气体激发部50的开口54外侧附近,即,在开口54外侧(处理容器4内)的两侧,以互相相对的方式垂直立起设置有原料气体(第一处理气体)和辅助气体(第三处理气体)的气体分散喷嘴36、37。由在气体分散喷嘴36、37上形成的各气体喷射孔36A、37A来向着处理容器4的中心方向喷射含有DCS气体的原料气体和含有BCl3气体的辅助气体。
另一方面,在与气体激发部50相对设置的排气口52上,以掩盖住其的方式而通过焊接来安装由石英制成的形成截面为“コ”字状的排气口盖体66。排气口盖体66沿着处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口68。在气体出口68上连接配置有真空泵等真空排气系统GE。
以包围住处理容器4的方式来配置加热处理容器4内的环境气体以及晶片W的加热器70。在处理容器4内的排气口的附近配置有用于控制加热器70的热电偶(未图示)。
另外,成膜装置2具有控制整个装置动作的由计算机等构成的主控制部48。主控制部48根据预先储存在其附属存储部的成膜处理的处理程序例如形成的膜的膜厚或者组成来进行后述的成膜处理。在该存储部内,处理气体流量和膜的膜厚或者组成之间的关系作为控制数据而被预先存入。因此,主控制部48根据这些存储的处理程序或者控制数据而能够控制升降机构25、气体供给系统28、30、31、32、排气系统GE、气体激发部50、加热器70等。
下面,对使用在图1中所示的装置进行成膜的方法(所谓ALD(原子层淀积)成膜)进行说明。简单地说,在该成膜方法中,向收纳有晶片W的处理区域5内供给含有作为硅烷系气体的二氯硅烷(DCS)气体的第一处理气体(原料气体)、含有作为氮化气体的氨(NH3)气体的第二处理气体(支援气体)、以及含有作为掺杂气体的BCl3气体的第三处理气体(辅助气体),通过CVD在晶片W上形成含有作为杂质的硼的氮化硅膜(SiBN)。
首先,将保持有多片、例如50~100片尺寸为300mm的晶片W的常温的晶片舱12装载于被设定在规定温度的处理容器4内。然后,在将处理容器4内抽成真空并维持在规定的处理压力的同时,使晶片温度上升到成膜用的处理温度为止,并待机。然后,在分别控制流量的同时,由气体分散喷嘴36、34、37间歇性地供给含有DCS气体的原料气体、含有NH3气体的支援气体以及含有BCl3气体的辅助气体。
具体地说,从气体分散喷嘴36、37的气体喷射孔36A、37A,以形成平行气流的方式向晶片舱12上的多片晶片W供给含有DCS的原料气体和含有BCl3的辅助气体。在此期间,DCS气体和BCl3气体的分子或者由其分解而产生的分解产物的分子或者原子就被吸附在晶片上。
另一方面,从气体分散喷嘴34的气体喷射孔34A,以形成平行气流的方式向晶片舱12上的多片晶片W供给含有NH3气体的支援气体。当支援气体通过一对电极58之间的等离子体产生区域PS时,被选择性地激发,从而一部分被等离子体化。此时,生成例如N*、NH*、NH2 *、NH3 *等原子团(活性种)(符号*表示是原子团)。这些原子团从气体激发部50的开口54流向处理容器4的中心部分,以层流的状态而被供给到晶片W之间。
上述原子团与吸附在晶片W表面上的DCS气体的分子等反应,由此,在晶片W上形成氮化硅膜。而且,此时由BCl3气体的分解而产生的B原子进入到氮化硅膜中,形成含有硼作为杂质的氮化硅膜(SiBN)。其中,与其相反,在晶片W的表面上吸附有原子团的部位上,即使在流过DCS气体以及BCl3气体的情况下,也会发生同样的反应,在晶片W上形成含硼的氮化硅膜。
(第一实施方式)
图3是表示在涉及本发明第一实施方式的成膜方法中的供给气体和RF(高频电压)施加的方式的时序图。如图3所示,在涉及此实施方式的成膜方法中,交互地重复第一至第四工序T1~T4。也就是说,多次重复由第一至第四工序T1~T4构成的循环,在每个循环中形成含硼的氮化硅膜,通过层积而得到具有最终厚度的氮化硅膜。
具体地说,在第一工序T1中,向处理区域5供给原料气体(在图3中表示DCS)和辅助气体(在图3中表示BCl3),而停止向处理区域5供给支援气体(在图3中表示为NH3)。在第二工序T2中,停止向处理区域5供给原料气体、支援气体和辅助气体。在第三工序T3中,向处理区域5供给支援气体,而停止向处理区域5供给原料气体和辅助气体。此外,在第三工序T3中,通过在中途打开RF电源60来使在气体激发部50的支援气体等离子体化,而只在子工序T3b期间,在被激发的状态下向处理区域供给支援气体。在第四工序T4中,停止向处理区域5供给原料气体、支援气体和辅助气体。
第二以及第四工序T2、T4是作为排除处理容器4内的残留气体的清洁工序来使用的。在此,所谓清洁是指,在流过N2气体等惰性气体的同时,对处理容器4内进行真空排气,或者完全停止供给气体,通过对处理容器4内进行真空排气来除去处理容器4中的残留气体。此外,也可以只在第二以及第四工序T2、T4的前一半过程进行真空排气,在后一半过程同时进行真空排气和惰性气体的供给。其中,在第一以及第三工序T1、T3中,当供给原料气体、支援气体和辅助气体时,可以停止处理容器4内的真空排气。但是,在一边供给原料气体、支援气体和辅助气体一边在处理容器4内进行真空排气的情况下,可以在整个第一至第四期间T1~T4的全部时间内持续地进行处理容器4内的真空排气。
在图3中,第一工序T1被设定为大约1~20秒,例如大约为10秒,第二工序T2被设定为大约5~15秒,例如大约为10秒,子工序T3被设定为大约1~30秒,例如大约为20秒,子工序T3b被设定为大约1~25秒,例如大约15秒。第四工序T4被设定为大约5~15秒,例如大约为10秒。此外,通常由第一至第四工序T1~T4的一个循环形成的膜厚为0.11~0.13nm左右。因此,如果目标厚度例如是70nm的话,要反复进行大约600次这样的循环。但是,这些时间以及厚度只不过是简单的一个例子,本发明并不局限于这些数值。
如上所述,一起供给含有DCS气体的原料气体和含有BCl3气体的辅助气体的工序T1、和在单独供给含有NH3气体的支援气体的同时还包括将其进行等离子体激发的期间的工序T3,间隔着清洁期间T2、T4而交替地实施。从而,形成的含有硼的氮化硅膜的介电常数非常低,而且在其进行干蚀刻时,能够大幅度地提高其耐蚀刻性能。认为其理由如下。也就是说,一般在氮化硅膜中添加硼时,会使耐蚀刻性降低。但如第一实施方式那样,若在供给支援气体时用等离子体对其进行激发,则由于产生了含有N的原子团(活性种),所以促进了氮化硅膜的氮化。其结果,减少了氮化硅膜中的Si-H结合,而增加了耐蚀刻性强的Si-N键。因此,就能够大幅度地提高膜的耐蚀刻性。
其中,在第三工序T3中,通过在经过规定的时间Δt之后打开RF电源60,由气体激发部50对支援气体进行等离子体化,所以只在子工序T3b期间向处理区域5供给处于被激发状态的支援气体。所谓此规定的时间Δt就是使NH3气体流量达到稳定的时间,例如大约为5秒。但是,也可以在整个供给支援气体的期间由气体激发部50将支援气体等离子体化。通过这样在支援气体的流量稳定化以后才打开RF电源来确立等离子体,就能够在晶片W的面间方向(高度方向)提高活性种的均匀性。
上述成膜处理按照如下的处理条件进行。DCS气体的流量在50~3000sccm的范围内,例如为1000sccm(1slm)。NH3气体的流量在500~5000sccm的范围内,例如为1000sccm。BCl3气体的流量在1~100sccm的范围内,期望在1~15sccm的范围内,例如为4sccm。处理温度低于通常的CVD处理的温度,具体地说为300~700℃的范围内,优选在550~630℃的范围内。当处理温度低于300℃时,无法发生反应,因此几乎不存在膜的堆积。而在处理温度高于700℃的情况下,形成膜质较差的CVD的堆积膜,同时对已经形成的金属膜等会造成热损害。
处理压力在13Pa(0.1Torr)~1330Pa(10Torr)的范围内,优选在40Pa(0.3Torr)~266Pa(2Torr)的范围内。例如,在第一工序(吸附工序)T1中为1Torr,在第三工序(使用等离子体氮化工序)T3中为0.3Torr。在处理压力小于13Pa的情况下,成膜率低于实用水平。在处理压力大于1330Pa的情况下,不能充分激起等离子体。
(实验一)
使用在图1中所示的装置,按照在图3中所示的时序图,通过涉及第一实施方式的成膜方法来制造含硼的氮化硅膜(SiBN),并对其进行评价。在实验一中进行的成膜处理的处理条件,其基准是如上所述,下面只言及作为参数而使用的条件。
(BCl3气体的流量和介电常数的关系)
为了对此进行研究,将处理温度设定为550℃、600℃和630℃三种。BCl3气体的流量被设定为在0~8sccm范围内的不同值。
图4是表示BCl3的气体流量与介电常数的关系图。如图4所示,随着BCl3气体的流量增加,SiBN膜的介电常数降低,而且与处理温度无关。从而确认,对于通过涉及第一实施方式的成膜方法所制造的SiBN膜来说,随着硼浓度的增高,介电常数降低(即,能够降低半导体装置的寄生电容)。其中,介电常数的优选值为5以下。
(SiBN膜的折射率与介电常数的关系)
图5是表示SiBN膜的折射率与介电常数的关系图。如图5所示,当折射率从2大约变化到1.7时,介电常数从7大约变化到4。
(折射率与蚀刻速度的关系)
为了进行此研究,使用三种蚀刻液,即纯水[DIW]、稀硫酸溶液[SPM](H2SO4∶H2O2=4∶1)、以及稀氢氟酸溶液[DHF](HF∶H2O=1∶99)来进行SiBN膜的蚀刻。对于该蚀刻来说,对DIW在60℃下进行20分钟,对SPM在100℃下进行2分钟,对DHF在23℃下进行5分钟。对各种蚀刻液求出每一分钟的蚀刻速度。
图6是表示SiBN膜的折射率和蚀刻速度之间的关系图。图6还表示相对于各种蚀刻液的耐性的容许上限值AUL。即,相对于DHF的容许上限值AUL-DHF为2nm/min,相对于SPM的容许上限值AUL-SPM为1nm/min,而相对于DIW的容许上限值AUL-DIW为0.5nm/min。
如图6所示,当折射率在1.85左右时,虽然蚀刻速度没有很大的变化,但是随着折射率的减小,蚀刻速度逐步升高。即,随着含硼量的增加而折射率降低(参照图4和图5),蚀刻速度逐步升高。这里,除了在折射率为大约1.75中使用SPM蚀刻液的情况,相对于上述蚀刻液的蚀刻速度低于容许上限值。从而确认通过涉及第一实施方式的成膜方法所制造的SiBN膜具有相对于上述蚀刻液足够的耐蚀刻性能。
(BCl3的流量与蚀刻速度的关系)
为了对其进行研究,使用上述三种蚀刻液,即纯水[DIW]、稀硫酸溶液[SPM]和稀氢氟酸溶液[DHF]进行SiBN膜的蚀刻。蚀刻条件与上面的相同。作为蚀刻对象的SiBN膜是在处理温度630℃下形成的。BCl3气体流量被设定为在0~8sccm范围内的不同值。
图7是表示BCl3的流量和蚀刻速度之间的关系图。在图7中,相对于BCl3气体流量的各个值,对应地记载下三种蚀刻液的蚀刻速度值。从左至右依次分别为对DIW的蚀刻速度、对SPM的蚀刻速度和对DHF的蚀刻速度。在图7左侧的BCl3为“0”sccm的情况,是通过ALD法成膜的未掺杂硼的SiN膜(ALD-SiN)的数据。作为参考,在图7的右侧,表示的是由CVD法形成的未掺杂硼的SiN膜(CVD-SiN)的蚀刻速度。
相对各种蚀刻液的容许上限值,与在图6中说明的情况相同。即,DHF是2nm/min,SPM是1nm/min,而DIW是0.5nm/min。
如图7所示,随着BCl3的流量从1增加到8sccm,对DHF、SPM和DIW的各个蚀刻速度都稍为增加。例如,在DHF的情况下,从0.55nm/min增加到0.65nm/min左右。在SPM的情况下,从0.02nm/min增加到0.14nm/min左右。在DIW的情况下,从0.01nm/min增加到0.02nm/min左右。但是,各个蚀刻速度还都小于DHF、SPM和DIW的上述各容许上限值。从而确认,通过涉及第一实施方式的成膜方法所形成的SiBN膜可对上述蚀刻液具有充分的耐蚀刻性。
(SiBN膜的阶梯覆盖性)
还评价了通过涉及第一实施方式的成膜方法所形成的SiBN膜的阶梯覆盖性。其结果可以确认,SiBN膜的阶梯覆盖性非常高,可达到97~105%。
(第二实施方式)
图8是表示在涉及本发明的第二实施方式的成膜方法中的气体供给和RF(高频电压)施加的方式的时序图。如图8所示,在涉及此实施方式的成膜方法中,也是交叉地重复第一至第四工序T11~T14。即,多次重复由第一至第四工序T11~T14构成的循环,通过层积在每个循环中形成的含硼的氮化硅膜的膜,而得到最终厚度的氮化硅膜。
具体地说,在第一工序T11中,向处理区域5供给原料气体(在图8中表示为DCS)、支援气体(在图8中表示为NH3)和辅助气体(在图8中表示为BCl3)。在第二工序T12中,停止向处理区域5供给原料气体、支援气体和辅助气体。在第三工序T13中,向处理区域5中供给支援气体,而停止向处理区域5供给原料气体和辅助气体。此外,在第三工序T13中,通过在中途打开RF电源60而由气体激发部50对支援气体进行等离子体化,只在子工序T13b期间向处理区域5中供给处于激发状态下的支援气体。在第四工序T14中,停止向处理区域5中供给原料气体、支援气体和辅助气体。
第二和第四工序T12、T14是作为排出处理容器4内的残留气体的清洁工序而使用的。在第一和第三工序T11、T13中,在供给原料气体、支援气体和辅助气体时,可以停止处理容器4内的真空排气。但是,在一边向处理容器4内供给原料气体、支援气体和辅助气体一边进行真空排气的情况下,也可以在整个第一至第四工序T11~T14中持续对处理容器4内进行真空排气。第一至第四工序T11~T14各自的长度与第一实施方式相同。
如上所述,在第一工序T11中,当与原料气体和辅助气体一起供给含有NH3的支援气体时,在维持形成的氮化硅膜的高质量的同时,其成膜速度也大幅度提高。其理由可以考虑如下。即,当在第一期间T11内一起供给支援气体和原料气体时,在晶片表面上吸附的DCS气体分子有一部分通过同时供给的NH3气体而被不完全氮化。因此,在第一期间T11内,在吸附量没有饱和时,DCS气体和BCl3气体分子还在进行着吸附,其结果,使DCS气体和BCl3气体的吸附量多于在单独流过原料气体时的吸附量。然后,在第三期间T13内,由通过等离子体所激发的NH3气体使没有完全反应的部分充分地反应,在高成膜速度的状态下形成氮化硅膜。
在第一期间T11内的NH3气体的供给量不要过多,例如,取少于DCS气体的供给量,希望取1/100~1/1。当NH3气体的供给量过多时,会产生大量的氯化铵,造成堵塞排气系统的管道或者形成氯化铵颗粒等问题。此外,因为仅是产生的氯化铵和BCl3简单地反应,所以会形成不含Si或含Si非常少的BN膜。反之,当NH3气体的供给量过少时,使得同时供给NH3的效果非常小。
此外,也可以在第一期间T11内打开RF电源60,通过气体激发部50对含有NH3的支援气体进行等离子体化(在图8(D)中表示为虚线)。在此情况下,由于进一步促进在晶片表面上吸附DCS气体和BCl3气体,所以能够进一步提高成膜速度。
(实验二)
使用图1所示的装置,按照在图3和图8中所示的时序图,由涉及第一和第二实施方式的成膜方法来制造含硼的氮化硅膜(SiBN),对它们的成膜速度进行比较。在实验二中,成膜处理的处理条件与实验一是相同的。此外,在涉及第二实施方式的成膜方法中,将第一工序T11中的NH3气体的供给量设定为是DCS气体供给量的1/10,即100sccm。
图9是表示在涉及第一和第二实施方式的成膜方法中的成膜速度Rth(nm/循环)以及其改善率IRth(%)的图。在图9中,横坐标中的TOP、CTR以及BTM分别表示半导体晶片在晶片舱中的顶部、中间和底部的位置。带有斜线的条棒表示第一实施方式的成膜速度,而空白条棒表示第二实施方式的成膜速度,改善率IRth(%)被定义为(第二实施方式的成膜速度)/(第一实施方式的成膜速度)。
如图9所示,与第一实施方式的方法相比较,在第二实施方式的方法中,在TOP、CTR和BTM的各个位置,成膜速度Rth都有所提高。成膜速度的改善率IRth(%),在TOP处为161%,在CTR处为161%,而在BTM处为152%。因此,能够确认对于成膜速度来说,涉及第二实施方式的成膜方法优于涉及第一实施方式的成膜方法。
(电子迁移度)
作为含有杂质的氮化硅膜的特性,除了介电常数和蚀刻速度以外,有必要考察对半导体装置的动作有很大影响的电子迁移度。为了提高电子迁移度,优选对含有杂质的氮化硅膜施加应力。在此情况下,所谓应力有拉伸应力和压缩应力。
图10A和图10B分别表示NMOS晶体管以及PMOS晶体管的示意性截面图。在图10A和图10B中,箭头表示压力的方向。在如图10A中所示的NMOS晶体管的情况下,优选在通道区域施加压缩应力。在此情况下,晶体管的覆盖膜由高拉伸应力的膜构成。另一方面,在如图10B中所示的PMOS晶体管的情况下,优选在通道区域施加拉伸应力。在此情况下,晶体管的覆盖膜由高压缩应力的膜构成。但是,按照过去的技术,是很难形成含有压缩应力杂质的氮化硅膜,而控制应力自身的大小也是很困难的。
关于这一点,通过本发明人等的研究明白,通过在成膜时控制所供给的掺杂气体的供给量,就能够控制对含杂质氮化硅膜施加应力的大小和种类。当变化掺杂气体的供给量时,就给予含杂质氮化硅膜以应力,而从压缩应力变化到拉伸应力。从而,在涉及第一以及第二实施方式的成膜方法中,将在第一工序T1、T11中的掺杂气体,即BCl3气体的供给量作为参数,而能够控制在含杂质的氮化硅膜中所产生的应力。
(实验三)
使用在图1中所示的装置,按照在图3中所示的时序图,通过涉及第一实施方式的成膜方法,形成含硼的氮化硅膜(SiBN),研究BCl3的气体流量与膜的应力之间的关系。在实验三中的成膜处理中,其处理条件的基准与实验一相同,即,取DCS气体流量为1000sccm,NH3气体流量为1000sccm。
图11A和图11B是表示BCl3气体流量和膜的应力之间的关系图。在图11A和图11B中,纵坐标的“+”一侧表示拉伸应力,“-”一侧表示压缩应力。在表示图11A中结果的实验中,处理温度取为550℃,BCl3气体流量被设定为0、8和15sccm三个不同值。在表示图11B中结果的实验中,处理温度取为630℃,BCl3气体流量被设定为1、2、4、8sccm四个不同值。
在图11A中所示的情况下,当BCl3的气体流量为“0”时(不掺杂有杂质),应力非常高,达到了1143MPa。与此相反,当BCl3的气体流量增加到8、15sccm时,应力(拉伸)依次降低到135和111MPa。此外,在图11B中所示的情况下,当BCl3气体的气体流量逐步增加时,应力依次降低到220、113和76MPa。然后在8sccm时,应力为-78MPa,应力的种类从拉伸应力转变为压缩应力。从而确认,通过适当地选择处理温度和BCl3气体的流量就能够控制含杂质氮化硅膜应力的大小和种类(方向)。当然上述处理温度和气体流量仅是一个例子。
(第一以及第二实施方式共同的事项和变化实例)
如上所述,对于第一以及第二实施方式中,是基于处理程序并在主控制部48的控制下而实行的。图12为表示主控制部构造的简要框图。主控制部48具有CPU210,而存储部212、输入部214、输出部216等连接在其上面。在存储部212中存储有处理程序以及加工工艺。输入部214包括用于与使用者对话的输入装置,例如键盘或者指示设备以及存储介质的驱动部等。输出部216输出用于控制处理装置的各机器的控制信号。在图12中还一并表示有可在计算机上安装或者卸下的存储介质218。
对于上述实施方式的方法来说,作为用于在处理器上运行的程序指令,通过写入由计算机可读取的存储介质,而可以适用于各种半导体处理装置。或者,这种程序指令,通过通信媒体进行传送,而可以适用于各种半导体处理装置。存储介质例如为磁盘(软盘)、硬盘(一例为存储部212中所包括的硬盘等)、光盘(CD、DVD等)、磁光盘(MO等)、半导体存储器等。控制半导体装置的动作的计算机,读入存储在存储介质中的程序指令,通过使其在处理器上运行来实行上述方法。
在第一以及第二实施方式中,可举例说明作为原料气体中的硅烷系气体的DCS气体。关于这一点,作为原料气体,可以使用含有选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、甲硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氨烷(HMDS)、四氯硅烷(TCS)、乙硅烷基氨(DSA)、三硅烷基氨(TSA)、双叔丁基氨基硅烷(BTBAS)中的一种或者两种以上。
在第一以及第二实施方式中,可以使用NH3气体、N2气体作为支援气体中的氮化气体,还可以使用一氧化二氮(N2O)、氧化氮(NO)之类的氮氧化气体代替氮化气体。在此情况下,形成的膜并不是含杂质氮化硅膜,而是含杂质氮氧化硅膜。
此外,在第一以及第二实施方式中,可以举出BCl3气体作为辅助气体中的掺杂气体。关于这一点,作为掺杂气体,可以包括选自BCl3、B2H6、BF3、B(CH3)3中的至少一种气体。
在上述第一以及第二实施方式中,作为成膜装置2,具有一体地将形成等离子体的激发部50组装在处理容器4上的构造。代替之,也可以将激发部50与处理容器4另外设置,在处理容器4的外部预先激发(即远距离等离子体)NH3气体,再向处理容器4内供给该激发NH3气体。作为被处理基板,并不限定于半导体晶片,也可以是LCD基板、玻璃基板等其他基板。
对于本领域的技术人员而言,有关本发明的补充优点以及修改很容易获得。因此,本发明并不局限于上述的详细说明和优选实施方式。在本发明的宗旨以及范围之内的各种修改也应属于本发明的权利要求之内。

Claims (20)

1. 一种半导体处理用的成膜方法,在能够选择性地供给含有硅烷系气体的第一处理气体和含有氮化气体或者氮氧化气体的第二处理气体以及含有掺杂气体的第三处理气体的处理区域内,通过CVD在被处理基板上形成含有杂质的氮化硅膜或者氮氧化硅膜,其特征在于,
该方法包括:
向所述处理区域供给所述第一以及第三处理气体的第一工序;
停止向所述处理区域供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一以及第三处理气体的第三工序,其中,所述第三工序包括所述第二处理气体在通过激发机构处于被激发的状态下而向所述处理区域被供给的激发期间;和
停止向所述处理区域供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第四工序。
2. 如权利要求1所述的方法,其特征在于:
所述第一工序是停止向所述处理区域供给所述第二处理气体。
3. 如权利要求1所述的方法,其特征在于:
所述第一工序是向所述处理区域供给所述第二处理气体。
4. 如权利要求3所述的方法,其特征在于:
所述第一工序不具有通过所述激发机构来激发所述第二处理气体的期间。
5. 如权利要求3所述的方法,其特征在于:
所述第一工序具有通过所述激发机构来激发所述第二处理气体的期间。
6. 如权利要求1所述的方法,其特征在于:
所述第三工序还具有在所述激发期间之前,将没有通过所述激发机构所激发的所述第二处理气体向所述处理区域供给的期间。
7. 如权利要求1所述的方法,其特征在于:
所述第二以及第四工序分别具有向所述处理区域供给清洁气体的期间。
8. 如权利要求1所述的方法,其特征在于:
从所述第一工序到所述第四工序一直继续着进行所述处理区域内的排气。
9. 如权利要求1所述的方法,其特征在于:
所述激发机构具有在与所述处理区域相连的空间内,配置在所述第二处理气体的供给口和所述基板之间的等离子体产生区域,所述第二处理气体在通过所述等离子体产生区域时而被激发。
10. 如权利要求9所述的方法,其特征在于:
所述第一以及第三处理气体在所述等离子体产生区域和所述基板之间被供给到所述处理区域。
11. 如权利要求9所述的方法,其特征在于:
在所述处理区域内,以上下设置间隔而层叠的状态下收纳有多片被处理基板,所述多片被处理基板通过设置在所述处理区域周围的加热器而被加热。
12. 如权利要求11所述的方法,其特征在于:
所述第一、第二以及第三处理气体以相对所述多片被处理基板形成平行气流的方式,而分别从跨过所述多片被处理基板并沿着上下方向排列的多个气体喷射孔所供给。
13. 如权利要求1所述的方法,其特征在于:
在所述第一工序中,以所述掺杂气体的供给量作为参数来控制在所述膜上产生的应力。
14. 如权利要求1中所述的方法,其特征在于:
所述第一处理气体含有选自二氯硅烷、六氯乙硅烷、甲硅烷、乙硅烷、六甲基二硅氨烷、四氯硅烷、乙硅烷基氨、三硅烷基氨和双叔丁基氨基硅烷中的一种以上的气体,所述第二处理气体含有选自氨气、氮气、一氧化二氮和一氧化氮中的一种以上的气体。
15. 如权利要求1所述的方法,其特征在于:
所述掺杂气体含有选自BCl3、B2H6、BF3和B(CH3)3中的一种以上的气体。
16. 一种半导体处理用的成膜装置,其特征在于,
该装置包括:
具有收纳被处理基板的处理区域的处理容器;
在所述处理区域内支撑所述被处理基板的支持部件;
加热所述处理区域内的所述被处理基板的加热器;
对所述处理区域内进行排气的排气系统;
向所述处理区域内供给含有硅烷系气体的第一处理气体的第一处理气体供给系统;
向所述处理区域内供给含有氮化气体或者氮氧化气体的第二处理气体的第二处理气体供给系统;
向所述处理区域内供给含有掺杂气体的第三处理气体的第三处理气体供给系统;
选择性地对供给到所述处理区域内的第二处理气体进行激发的激发机构;和
控制所述装置的动作的控制部,其中,
所述控制部为了通过CVD在所述被处理基板上形成含有杂质的氮化硅膜或者氮氧化硅膜而实施以下工序:
向所述处理区域供给所述第一以及第三处理气体的第一工序;
停止向所述处理区域供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第二工序;
向所述处理区域供给所述第二处理气体,另一方面,停止向所述处理区域供给所述第一以及第三处理气体的第三工序,其中,所述第三工序包括所述第二处理气体在通过激发机构而处于被激发的状态下被供给到所述处理区域的激发期间;和
停止向所述处理区域内供给所述第一、第二和第三处理气体,并对所述处理容器进行真空排气的第四工序。
17. 如权利要求16中所述的装置,其特征在于:
所述激发机构具有在与所述处理区域连通的空间内、配置在所述第二处理气体供给口和所述基板之间的等离子体产生区域,所述第二处理气体在通过所述等离子体产生区域时而被激发。
18. 如权利要求17中所述的装置,其特征在于:
所述等离子体产生区域具有通过附设在所述处理容器中的电极和高频电源而在所述第二处理气体供给口和所述基板之间形成的高频电场。
19. 如权利要求16中所述的装置,其特征在于:
所述处理区域构成为在上下设置间隔而层叠的状态下来收纳多片被处理基板,所述多片被处理基板由设置在所述处理区域周围的所述加热器来加热。
20. 如权利要求19中所述的装置,其特征在于:
所述第一、第二和第三处理气体分别以相对所述多片被处理基板形成平行气流的方式,从跨过所述多片被处理基板并沿着上下方向排列的多个气体喷射孔而被供给。
CNB2005100873066A 2004-07-28 2005-07-28 半导体工艺的成膜方法和装置 Active CN100426474C (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
JP2004220555 2004-07-28
JP2004220555 2004-07-28
JP2004-220555 2004-07-28
JP2005048060 2005-02-23
JP200548060 2005-02-23
JP2005-48060 2005-02-23
JP2005177344A JP4179311B2 (ja) 2004-07-28 2005-06-17 成膜方法、成膜装置及び記憶媒体
JP2005177344 2005-06-17
JP2005-177344 2005-06-17

Publications (2)

Publication Number Publication Date
CN1881541A CN1881541A (zh) 2006-12-20
CN100426474C true CN100426474C (zh) 2008-10-15

Family

ID=35798796

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100873066A Active CN100426474C (zh) 2004-07-28 2005-07-28 半导体工艺的成膜方法和装置

Country Status (5)

Country Link
US (1) US7462571B2 (zh)
JP (1) JP4179311B2 (zh)
KR (1) KR100890684B1 (zh)
CN (1) CN100426474C (zh)
TW (1) TWI349302B (zh)

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4997698B2 (ja) * 2004-12-09 2012-08-08 富士通セミコンダクター株式会社 応力蓄積絶縁膜の製造方法及び半導体装置
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
JP4929932B2 (ja) * 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100843231B1 (ko) * 2007-01-23 2008-07-02 삼성전자주식회사 플라즈마 도핑방법
US20080213479A1 (en) * 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2008294260A (ja) * 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090056877A1 (en) * 2007-08-31 2009-03-05 Tokyo Electron Limited Plasma processing apparatus
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP2009260151A (ja) * 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
JP5284182B2 (ja) * 2008-07-23 2013-09-11 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP5155070B2 (ja) 2008-09-02 2013-02-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP5099101B2 (ja) 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5136574B2 (ja) 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
GB2471128A (en) * 2009-06-18 2010-12-22 Rec Solar As Surface passivation of silicon wafers
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5665627B2 (ja) * 2011-03-30 2015-02-04 東京エレクトロン株式会社 シリコン酸化物膜及びシリコン窒化物膜の積層方法、並びに成膜装置及び半導体装置の製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5723243B2 (ja) 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9388491B2 (en) * 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP5956972B2 (ja) * 2012-12-21 2016-07-27 東京エレクトロン株式会社 成膜方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI502096B (zh) 2013-06-17 2015-10-01 Ind Tech Res Inst 用於化學氣相沉積的反應裝置及反應製程
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
JP6291297B2 (ja) 2014-03-17 2018-03-14 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6307984B2 (ja) * 2014-03-31 2018-04-11 東京エレクトロン株式会社 基板処理装置
JP6504770B2 (ja) * 2014-06-30 2019-04-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6378070B2 (ja) * 2014-12-15 2018-08-22 東京エレクトロン株式会社 成膜方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US11268190B2 (en) * 2015-06-16 2022-03-08 Versum Materials Us, Llc Processes for depositing silicon-containing films using halidosilane compounds
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN108475624B (zh) * 2016-02-29 2023-10-20 株式会社国际电气 半导体器件的制造方法、衬底处理装置以及记录介质
JP6529927B2 (ja) * 2016-04-15 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
KR102146600B1 (ko) * 2016-08-01 2020-08-20 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022108120A (ja) 2021-01-12 2022-07-25 東京エレクトロン株式会社 成膜方法及びプラズマ処理装置
JP2022120422A (ja) 2021-02-05 2022-08-18 東京エレクトロン株式会社 成膜方法
JP7194216B2 (ja) * 2021-03-17 2022-12-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、プログラム及び基板処理装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0634974A (ja) * 1992-07-20 1994-02-10 Toshiba Lighting & Technol Corp 照明装置および液晶表示装置
JP2002009009A (ja) * 2000-06-20 2002-01-11 Tokyo Electron Ltd 縦型熱処理装置
CN1423834A (zh) * 2000-04-17 2003-06-11 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
CN1448997A (zh) * 1995-09-08 2003-10-15 株式会社半导体能源研究所 制造半导体器件的方法和设备
JP2004006801A (ja) * 2002-04-11 2004-01-08 Hitachi Kokusai Electric Inc 縦型半導体製造装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0831454B2 (ja) * 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
JPH0831454A (ja) 1994-07-13 1996-02-02 Toshiba Battery Co Ltd コイン形非水電解液二次電池
JP2000100812A (ja) 1998-09-17 2000-04-07 Tokyo Electron Ltd シリコンナイトライド膜の成膜方法
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0634974A (ja) * 1992-07-20 1994-02-10 Toshiba Lighting & Technol Corp 照明装置および液晶表示装置
CN1448997A (zh) * 1995-09-08 2003-10-15 株式会社半导体能源研究所 制造半导体器件的方法和设备
CN1423834A (zh) * 2000-04-17 2003-06-11 马特森技术公司 用于生成四氮化三硅薄膜的超薄氧氮化物的uv预处理方法
JP2002009009A (ja) * 2000-06-20 2002-01-11 Tokyo Electron Ltd 縦型熱処理装置
JP2004006801A (ja) * 2002-04-11 2004-01-08 Hitachi Kokusai Electric Inc 縦型半導体製造装置

Also Published As

Publication number Publication date
US7462571B2 (en) 2008-12-09
KR20060048790A (ko) 2006-05-18
CN1881541A (zh) 2006-12-20
JP2006270016A (ja) 2006-10-05
TWI349302B (en) 2011-09-21
JP4179311B2 (ja) 2008-11-12
US20060032443A1 (en) 2006-02-16
TW200618073A (en) 2006-06-01
KR100890684B1 (ko) 2009-03-26

Similar Documents

Publication Publication Date Title
CN100426474C (zh) 半导体工艺的成膜方法和装置
CN101135046B (zh) 半导体处理用的成膜方法和装置
CN101005029B (zh) 半导体处理用成膜方法和装置
CN100554506C (zh) 半导体处理用的成膜方法及装置
KR100935257B1 (ko) 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체
CN101481794B (zh) 半导体处理的成膜方法和装置
CN1908228B (zh) 形成含硅的绝缘膜的方法和装置
US8178448B2 (en) Film formation method and apparatus for semiconductor process
CN100594588C (zh) 氮化硅膜形成方法及装置
CN1891859B (zh) 氮氧化硅膜的形成方法
CN101962756B (zh) 半导体处理用的成批化学气相沉积方法及装置
CN101562133B (zh) 形成掺杂有金属的含硅绝缘膜的成膜方法和装置
CN1831192B (zh) 半导体处理用成膜方法和成膜装置
CN101154589B (zh) 形成硅氧化膜的成膜方法和装置
CN101488452A (zh) 半导体处理用的成膜方法和装置
TW201347037A (zh) SiCN膜之形成方法及裝置
JP2014146786A (ja) 感受性基材上にフィルムを蒸着するための方法
CN101713067A (zh) 成膜方法及成膜装置
CN101192534A (zh) 半导体处理用的成膜装置及其使用方法
CN108695149B (zh) 蚀刻方法、蚀刻装置以及存储介质
CN101381861B (zh) 成膜方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant