TWI352380B - Film formation apparatus for semiconductor process - Google Patents

Film formation apparatus for semiconductor process Download PDF

Info

Publication number
TWI352380B
TWI352380B TW095107830A TW95107830A TWI352380B TW I352380 B TWI352380 B TW I352380B TW 095107830 A TW095107830 A TW 095107830A TW 95107830 A TW95107830 A TW 95107830A TW I352380 B TWI352380 B TW I352380B
Authority
TW
Taiwan
Prior art keywords
gas
processing
process gas
supply
film
Prior art date
Application number
TW095107830A
Other languages
English (en)
Other versions
TW200710952A (en
Inventor
Kazuhide Hasebe
Mitsuhiro Okada
Chaeho Kim
Byounghoon Kee
Pao Hwa Chou
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200710952A publication Critical patent/TW200710952A/zh
Application granted granted Critical
Publication of TWI352380B publication Critical patent/TWI352380B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

1352380 ^、發纟月說明: 相關申請交互參考 本專利申請案根據並提出先前於2005年3月11申請之日 本專利申請案第2005-070034號及於2〇06年1月11申請之日 本專利申請案第2006-004192號的利益,這兩份專利申請 案的整份内容以引用方式併入本文中。 【發明所屬之技術領域】 本發明係關於一種用於半導體處理之薄膜形成裝置及方 法’用於在一目標基板(諸如一半導體晶圓)上形成一薄 膜。本文中使用的用詞「半導體處理」包含各種製程,實 行彼等製程用以在一目標基板(諸如一半導體晶圓或用於 LCD (液晶顯示器;Liquid Crystal Display)或 FPD (平面顯 不器;Flat Panel Display)的玻璃基板)上,製造一種半導 體裝置或一種具有佈線層、電極及擬連接至一半導體裝置 之類似物的結構,其方式為在該目標基板上以預先決定圖 案來形成半導體層、絕緣層及導電層。 【先前技術】 在製造用於建構半導體積體電路之半導體裝置的過程 中’一目標基板(諸如一半導體晶圓)歷經各種處理,諸如 薄膜形成、蝕刻、氧化、擴散、改質、退火及天然產氧化 物膜(natural oxide film)去除。曰本專利申請案KOKAI公 開案第6-34974號揭示一種在直立式熱處理裝置(所謂批量 型(batch type))中執行的一種半導體處理方法。根據此方 法,先將半導體晶圓從一晶圓匣(wafer cassette)轉移至一 108170-1000520.doc 1352380 年月日修正替換頁' 直立或曰 5.20- 飞日日圓载舟(vertical wafer boat),並且在垂直方向有 門隔地支撐於該晶圓載舟上β該晶圓匣可收存(例如)25個 晶圓’而該晶圓載舟可支撐30至150個晶圓。接著,將該 晶圓載舟從下方裝載至一處理容器中,並且該處理容器被 軋密封閉。接著,實行一預先決定熱處理,同時控制各項 處理條件,諸如處理氣體流率、處理壓力及處理溫度。
按慣例,大部分使用氧化矽膜(si〇2膜)來當作半導體裝 置的絕緣膜。但是,近年來’由於半導體積體電路之集成 及微型化需求增加,所以按需要而使用氮化矽膜(8丨3队膜) 來取代氧化矽膜(日本專利申請案K〇KAI公開案第6_34974 號)。舉例而言,氮化矽膜被處置以作為抗氧化膜、用於 防止雜質擴散之膜以及閘電極結構的側壁膜。由於氮化矽 膜提供低雜質擴散係數及良好抗氧化之阻障屬性,所以非 常適合為了上文所述之用途而作為絕緣膜。另夕卜氣化棚 膜(BN膜)也因為相同原因而引人注目。 舉例而言’如果供應二氣矽烷(diChl〇rosilane ; DCS)及 NH3以分別作為钱族氣體及氮化氣體來形錢化石夕膜, 二按如下方式來實行處理。具體而言,將DCS及,3氣體 乂替且間歇地(其間插入氣條(purge)時期)供應至一處理容 器中。當供應NH3氣體時,將施加一 RF (射頻),以在該處 理容器内產生電漿,藉以促進氮化反應。更具體而言,當 將DCS供應至該處理容器中時,一分子或以上之厚卢的 ⑽被吸附在晶圓表面上。在氣料_間去除多㈣ DCS。接者’供應·3且產生電漿,藉此實行低溫氮化以 I08170-1000520.doc •9- 1352380 一5¾¾ 形成氮化矽膜《重複彼等連續步驟, 決定厚度之膜。 以完成一具有一預先 另-方面,近年來,增加半導體裝置之操作速度也是一 項重要因素。就這一點而言,氮化矽膜具有一相對高之介 電常數,這增大了寄生電容且因此成為問題。具體而言, 隨著寄生電容增大,電子移動率被抑制,使得裝置操作速 度降低。另外,如果氮化矽膜係用於電荷儲存型感測器, 則問題在於寄生電容增大了一背景等級(backgr〇und level)。 依據彼等情勢, 已提議用一雜質來摻雜氮化矽膜,藉以 減小介電常數,同時維持雜質擴散係數及氧化阻障屬性。 日本專利申請案KOKAI公開案第20〇4_68〇1號揭示一種藉 由 CVD (Chenncal Vapor Dep〇siti〇n;化學氣體沉積法)來 形成一摻雜了用爛(B)作為雜質之氮切膜之方法。已摻 雜棚之氮切膜(SiBN)不僅具有—低雜質擴散係數及良好 抗氧化之阻障屬性,而且還具有一非常低之介電常數,使 得其非常適用於作為絕緣膜。 仁疋如下文所述,本發明人已發現到:如果在習知之 直立式熱處理裝置(所謂批量型(batch type))中使用一少量 之處理氣體’則就所沉積之膜的組合物方面的基板間一致 性趨向於惡化。 【發明内容】 法 本發明的目的係提供— ,其可在垂直方向均勻 種用於半導體處理之裝置及方 地供應一少量之處理氣體(諸如 108170-1000520.doc 1352380 摻雜氣體)至-處理容”’藉以改良所沉 物方面的基板間一致性 根據本發明第-態樣,本發明提供一種用於半導體處理 之薄膜形成裝置,包括: -處理容器’其具有一處理場’其經組態用以容納有間 隔地堆疊的複數個目標基板; 理場内的該等目標 一支撐構件’其經組態用以支撐該處 基板; 板; 加熱器,其經組態用以加熱該處理場内的該等 目標基 一排放系統,其經組態用以排放該處理場内的氣體; 處理氣體供應系統,其經組態用以將處理氣體供應至 該處理場’以至於在該等目標基板上沉積一薄膜,其中該 等處理氣體包括··-第-處理氣體,用於提供該薄膜的一 主材料第二處理氣體,用於與該第—處理氣體起反
應;及一第三處理氣體,用於提供該薄膜的子材料; 一控制區段,其經組態用以控制包含該處理氣體供應系 統之該裝置, 其中該處理氣體供應系統包括: 一氣體混合槽,其被佈置在該處理容器之外部,並且經 組態用以混合該第一與第三處理氣體,以形成一混合氣 一混合氣體供應線,其經組態用以將該混合氣體從該氣 體混合槽供應至該處理場, 】0幻70-】000520.doc • 11 · 1352380
第—及第 三處理氣體供應電路,其經組態用以分別供應 該第一與第三處理氣體至該氣體混合槽, 線,該第 一第二處理氣體供應電路,其具有一第二處理氣體供應 二處理氣體供應線經組態用以將該第二處理氣體 供應至該處理場’而不需要通過該氣體混合槽, 和第一切換閥’其分別佈置在該混合氣體供應線和 該第二處理氣體供應線上,以及 八中該控制區段控制該第一和第二切換閥成為敞開或封 閉狀態,以至於交替且以逐一脈衝方式,將該混合氣體從 該氣體混合槽供應至至該處理場及將該第二處理氣體從該 第二處理氣體供應電路供應至該處理場。 根據本發明第二態樣,本發明提供一種用於半導體處理 之薄膜形成裝置,包括: 一處理容器,其具有一處理場,其經組態用以容納有間 隔地堆疊的複數個目標基板; 支撐構件’其經組態用以支樓該處理場内的該等目標 基板; 一加熱器,其經組態用以加熱該處理場内的該等目標基 板; 一排放系統,其經組態用以排放該處理場内的氣體; 一處理氣體供應系統,其經組態用以將處理氣體供應至 該處理場’以至於在該等目標基板上沉積一薄膜,其中該 等處理氣體包括:一第一處理氣體’用於提供該薄臈的一 主材料;一第二處理氣體,用於與該第一處理氣體起反 108170-1000520.doc • 12- 1352380 & . fnn月-娜替換頁 …,及一第三處理氣體,用於提供該薄膜的子乙並-f— 該第三處理氣體係以少於該第一處理氣體之量的量供應至 該處理場; 控制區蚁,其經組態用以控制包含該處理氣體供應系 統之該裝置, 其中該處理氣體供應系統包括: 一混合氣體供應線,其經組態用以混合該第一與第三處
理氣體’以形成-混合氣體’並且將該混合氣體供應至該 處理場, 第一及第三處理氣體供應電路,其分別具有第一及第三 處理氣體供應線,其經組態用以供應該第一與第三處理氣 體至該混合氣體供應線, 一第二處理氣體供應電路,其具有一第二處理氣體供應 線,該第二處理氣體供應線經組態用以將該第二處理氣體 供應至該處理場,而不需要通過該混合氣體供應線,
第一、第二和第三切換閥,其分別佈置在該第一、第二 和第三氣體供應線上, 一第一貯槽,其被佈置在該第一氣體供應線上緊接在該 第一切換閥之前方,以暫時貯存該第一處理氣體及 其中該控制區段控制該第…第二和第三切換閥成為敵 開或封閉狀態’以至於交替且^逐一脈衝方式,將該混合 氣體從該氣體混合供應線供應至該處理場及將該第二處: 氣體從該第二處理氣體供應電路供應至該處理場。 根據本發明第二態樣,本發明提供一種用於半導體處理 108170-1000520.doc •13- 1352380 • a» · *坤 μ i & 月 u 修二 ——ί 之薄膜形成方法,包括: 加熱在-處理容器中一處理場内有間隔地堆疊的複數個 目標基板;以及 供應處理氣體至該處理場, 薄膜’其中該等處理氣體包括 供該薄膜的一主材料;一第二 理氣體起反應;及一第三處理 材料’ 以在該等目標基板上沉積一 :一第—處理氣體,用於提 處理氣體,用於與該第一處 氣體,用於提供該薄膜的子 其中該供應處理氣體包括:供應第一與第三處理氣體至 一佈置在該處理容器外部的氣體混合槽,以形成一混合々 體, '》孔 將該混合氣體從該氣體混合槽供應至該處理場,及 將該第_處理氣體供應至該處理場’而不需要通過該氣 體混合槽, ' 以至於交替且以逐一脈衝方式供應該混合氣體與該第二 處理氣體至該處理場。 根據本發明第四態樣,本發明提供—種用於半導體處理 之薄膜形成方法,包括: 加熱在-處理容器中—處理場内有間隔地堆疊的複數個 目標基板;以及 *供應處理氣體至該處理場,以在該等目標基板上沉積一 薄膜’其中該等處理氣體包括:__第—處理氣體,用於提 供該薄膜的-主材料;―第二處理氣體,用於與該第一處 理氣體起反m三處理氣體,用於提供該薄膜的子 108170-1000520.doc •14· 丄352380 l 材料,並且該第三處理氣體係以少於該第 的量供應至該處理場, 里 其中該供應處理氣體包括 供應該第一與第三處理氣體至一混合氣體供應線,以形 成一混合氣體,並且將該混合氣體供應至該處理場,同時 將該第一處理氣體暫時貯存一第一貯槽中,該第一貯槽被 佈置緊接在該混合氣體供應線之前方,及
將該第r處理氣體供應至該處理帛,而不需要通過該 合氣體供應線, “ 以至於交替且以逐一脈衝方式供應該混合氣體與該第二 處理氣體至該處理場。 根據本發明第五態樣,本發明提供__種包括用於在—處 理器上執行之程式指令的電腦可讀媒體,t其被該處理器 執仃時,促使用於一半導體處理的薄膜形成裝置執行 加熱在一處中一處理場内㈣隔地堆疊的複數個 目標基板;以及 *供應處理氣體至該處理場,以在該等目標基板上沉積_ 薄膜二其令該等處理氣體包括:—第一處理氣體,用於提 供該薄膜的-主材料;—第二處理氣體,用於與該第一處 理乳體起反應;及一第三處理氣體,用於提供該薄臈的子 材料, 其中該供應處理氣體包括:供應第_與第三處理氣體至 一佈置在該處理容器外部的氣體混合槽,以形成-混合氣 108170-1000520.doc •15- 將該混合氣體從該氣體混合槽供應至該處理場,及 將該第二處理氣體供應至該處理場,而不需要通過該氣 體混合槽, ; 以至於交替且以逐一脈衝方式供應該混合氣體與該第二 處理氣體至該處理場。 根據本發明第六態樣,本發明提供一種包括用於在一處 理器上執行之程式指令的電腦可讀媒體,當其被該處理器 執行時,促使用於一半導體處理的薄膜形成裝置執行° 加熱在-處理容器中-處理場内有間隔地堆疊的複數個 目標基板;以及 供應處理氣體至該處理場,以在該等目標基板上沉積一 薄膜’其中該等處理氣體包括:—第—處理氣體,用於提 供該薄膜的-主材料第二處理氣體,用於與該第一處 理氣體起反m處理氣體,用於提供該薄膜的子 材料’並且該第三處理氣體係以少於該第一處理氣體之量 的量供應至該處理場, 其中該供應處理氣體包括 供應該第-與第三處理氣體至—混合氣體供應線,以形 且將㈣合氣體供應至該處理場同時 將該第-處理氣體暫時貯存一第一貯槽中,該第一貯槽被 佈置緊接在該混合氣體供應線之前方,及 將該第二處理氣體供應至該處理場,而不需要通過該混 合氣體供應線, 二 以至於交替且以逐一脈衝方式供應該混合氣體與該第 108170-1000520.doc • 16 · 1352380 處理氣體至該處理場。 丨雜頁. • 接下來的【實施方式】中將會提出本發明的額外目的及 優點,並且,從【實施方式】或藉由實踐來學習本發明後 應會有某種程度的瞭解。藉由下文中所具體指出的手段及 組合’即可實現並獲得本發明的目的及優點。 【實施方式】 在開發本發明之過程中’本發明人研究在習知之用於一 • 半導體處理的薄膜形成裝置中所造成的問題,在該半導體 處理中使用複數種來源氣體來實行薄膜形成處理,諸如用 於形成一摻雜有一雜質的薄膜之處理。結果,本發明人獲 ’ 得如下研究結果。 * 具體而言,如果使用複數種來源氣體來實行一薄膜形成 處理,則重要事項係’將膜組合物元素之比率控制為一預 先决疋值,藉以達成高度的膜品質。但是,舉例而言,如 果該等來源氣體包括一主要來源氣體(其包含一沉積膜之 • 主組伤兀件)及一摻雜氣體,則該摻雜氣體之供應量通常 】於”玄主來源氣體之供應量。在此情況中,由於供應至 -直立式處理容器中的該摻雜氣體之該供應量係少量因 I起項問題’其使得取決於垂直方向的晶圓位置,衍 生自"亥摻雜乳體的一元素係以變化之量而被帶入所沉積之 、 據此就所儿積之膜的組合物方面的基板間一致性 趨向於降低。此n Bs h m 門通起因於來源氣體相對於晶圓表面之吸 附度差異及來源氣體流率差異。 為此原因,如果供庙 供應至一直立式處理容器中的一氣體 108170-1000520.doc 17· I3-523M______ (諸如一摻雜氣體)之供應量係少量,則需要對策措施以在 垂直方向一致的供應量來供應該氣體。舉例而言,一具有 以預先決定間隔分隔之數個喷氣孔的喷氣嘴被佈置以往該 直立式處理容器的縱向方向延伸,並且從該等噴氣孔傳遞 該摻雜氣體。替代做法為,該摻雜氣體之供應時間被縮 短,以增加每單位時間的氣體流率。但是,甚至運用前項 對策措施(其中處置非常少量之氣體供應量),來自上游側 之喷氣孔的氣體流率趨向於高於來自下游側之噴氣孔的氣 體流率。就這一點而言,針對少量之氣體供應量來最佳化 噴軋孔的尺寸等等以使該等喷氣孔的流率一致化,並不是 件容易的事。 另外’如果一氣體(諸如一摻雜氣體)之供應量係少量, 則該氣體可與一惰性載流氣體(inactive carrier gas)混合以 增加總流率,來作為對策措施。但是,在此情況中,由於 該載流氣體之存在,所以該摻雜氣體的分壓(partial pressure)減小,且因此該摻雜氣體的吸附率因分壓減小而 減小。 現在將參考附圖來說明以上文所述之研究結果為基礎的 本發明具體實施例。在接下來的說明内容中,用相同參考 數字來標示具有實質上相同功能及排列的建構元件,並且 僅在需要時才提出反覆的說明。 <第一具體實施例> 圖1繪示根據本發明第一具體實施例之薄膜形成裝置(直 立式CVD裝置)的斷面圖。圖2繪示圖1所示之裝置部分的 108170-1000520.doc -18 - 1352380 斷面平面圖。該薄膜形成裝置2具有一處理^^理; 經組態而被選擇性供應下列氣體:一第一處理氣體,盆含 有二氯石夕烧(DCS)氣體以作為碎烧族氣體;一第二處理氣 體,其含有氨(NH3)氣體以作為說化氣體;以及一第三處 理氣體,其含有犯3氣體以作為含钱體。該薄膜形成裝 置2經經‘態用以在該處理場中藉由㈣來在目標基板上形 成一 SiBN (已接雜硕之氮切)膜。據此,使用該含棚氣體
作為-移雜氣體。若有需要,該處理場經進—步組態而被 選擇性供應一第四處理氣體(其含有C2H4氣體(乙稀氣體)以 作為碳氫化物氣體)。
年月y修正替 狐 5υ2_〇 —卜 該薄膜形成裝置2包括-處理容器4,該處理容器被塑造 為一具有頂篷及一敞開式底部之圓筒狀圓柱,在該處理容 器中界定一處理場5,以容納且處理有間隔地堆疊的複數 個半導體晶圓(目標基板卜該處理容器4整體係由(例如)石 英所製成。該處理容器4之頂部配備一石英頂篷板6。該處 理容器4之底部係通過一密封構件1〇 (諸如一 〇形環)而連接 至一圓筒狀歧管8。該處理容器可能全部係以一圓筒狀石 英圓柱所形成,而不含一個別形成之歧管8。 該歧管8係由(例如)不銹鋼所製成,並且支撐該歧管8之 底部。通過該歧管8之底埠來上下移動一由石英製成之晶 圓載舟12,使得將該晶圓載舟12裝載至該處理容器4及自 該處理容器4予以卸載。在一晶圓載舟12上堆疊數個目標 基板或半導體晶圓W。舉例而言,在此具體實施例中,該 晶圓載舟12具有支柱12A,其可按垂直方向之實質上規律 108I70-1000520.doc •19- I3i2S§0- :年月日修正替換頁
Lioo_ —— 間隔來支樓(例如)約50至1 〇〇個直徑為3 〇〇 mm之晶圓β 通過一由石英製成之隔熱圓筒14,將該晶圓載舟12置放 在一工作台16上》該工作台16被一旋轉轴2〇所支撐,該旋 轉轴20刺穿一由(例如)不銹鋼所製成之蓋子18,並且係用 於敞開/封閉該歧管8之底槔。 在該旋轉軸20刺穿該蓋子丨8之部分處配備一磁流體 (magnetic-fluid)密封件22,使得在氣密封閉狀態中以可旋 轉方式支撐該旋轉轴20。一密封構件24 (諸如一 〇形環)被 插入在s玄蓋子18之周邊與該歧管8之底部之間,使得該處 理容器4的内部可被維持在密封狀態。 該旋轉軸20被附接在一被升降機件25 (諸如一晶舟升降 機)所支樓的臂狀物26之末梢端部處。該升降機件25使該 晶圓載舟12與該蓋子18以一體方式上下移動。該工作台16 可被固定至該蓋子18,使得以不需要旋轉該晶圓載舟12方 式來處理晶圓W。 一氣體供應系統被連接至該歧管8之側面,以供應預先 決疋之處理氣體至該處理容器4内的該處理場5。具體而 言,該氣體供應系統包括一第二處理氣體供應電路28、一 第一處理氣體供應電路30、一第三處理氣體供應電路32及 一氣滌氣體供應電路36,並且若有需要,還包括一第四處 理氣體供應電路34。該第一處理氣體供應電路3〇被設置用 以供應一含矽烧族氣體之第一處理氣體,諸如DCs (二氣 石夕燒)氣體。該弟二處理氣體供應電路28被設置用以供應 一含氮化氣體之第二處理氣體,諸如氨(NH3)氣體。該第 108170-1000520.doc -20· 1352380
I fco月換頁 以供應一含^氣體之第三一~ 處理氣體(摻雜氣體),諸如BCI3氣體。該第四處理氣體供 應電路34被設置用以供應一含有碳氫化物氣體之第四處理 氣體,諸如QH4氣體(乙烯氣體)^該氣滌氣體供應電路36
被設置用以供應一作為氣滌氣體之惰性氣體,諸如n2氣 體。視需要,第一、第二、第三與第四處理氣體各與適量 之載流氣體混合。但是,為了簡化解說之理由,下文中不 提及此一載流氣體。 更具體而言’第一、第三和第四處理氣體供應電路3〇、
3 2和3 4被連接至一共同混合氣體供應電路35。該混合氣體 供應電路3 5具有一氣體混合槽,該氣體混合槽經組態用以 混合該第一與第三處理氣體’並且若有需要,還進一步混 合該第四處理氣體。該氣體混合槽42被設計成具有一用於 均勻混合該等氣體且暫時貯存充分供應量之混合氣體的容 積’諸如(例如)約四公升之容積(視氣體流率予以變更)。 透過一配備一切換閥44A之混合氣體供應線44,將該氣體 混合槽42連接至一由石英管所形成之氣體配送嘴4〇。另一 方面’該第二處理氣體供應電路28被連接至一由石英管所 形成之氣體配送嘴38。 該等氣體配送嘴38及40從外部刺穿該歧管8之側壁且接 著向上轉向及延伸《該等氣體配送嘴38及40分別具有複數 個喷氣孔3 8A及40A,每組喷氣孔係在涵蓋該晶圓載舟j 2 上所有晶圓W之縱向方向(垂直方向)按預先決定間隔予以 形成。該等噴氣孔38A及40A各往水平方向幾乎均勻地傳 108170-1000520.doc -21- 1352380 仏替換頁丨 遞松、對應之處理氣體,藉以形成平行於該晶圓載舟12上之 該等晶圓W的氣流。該氣滌氣體供應電路36包括一短喷氣 嘴46,該短喷氣嘴46從外部刺穿該歧管8之側壁。 該氣體混合槽42分別透過第一、第三和第四處理氣體供 應電路30、32和34的氣體供應線(氣體通路)50、52和54, 而連接至DCS氣體、BC13氣體和C2H4氣體的氣體來源 30S、32S和34S。透過一氣體供應線(氣體通路)48,將該 第二處理氣體供應電路28之該氣體配送嘴38連接至1<^3氣 體的氣體來源28S。透過氣體供應線(氣體通路)56,將該 氣務氣體供應電路36之該噴氣嘴46連接至N2氣體的氣體來 源36S。該等氣體供應線w、50、52、54和56分別配備切 換閥48A、50A、52A、54A和5 6A及流率控制器48B、 50B、52B ' 54B和56B (諸如質流(mass fl〇w)控制器)。運 用此配置,得以依受控制之流率來供應NH3氣體、DCS氣 體、BCl;氣體、C2H4氣體及N2氣體。 一氣體激發區段66係在垂直方向形成於該處理容器4之 側壁處。在與該氣體激發區段66相反之該處理容器4之側 上,藉由往(例如)垂直方向切割該處理容器4之側壁,而形 成一用於真空排放内部空氣的長薄形排氣口 68。 具體而έ,該氣體激發區段66具有一藉由往垂直方向切 割該處理容器4之側壁所形成之一垂直式長薄形開口 7〇。 用一藉由焊接以氣密方式連接至該處理容器4之外表面的 石英蓋子72來覆蓋該開口 70。該蓋子72具有一含凹形橫斷 面的垂直長薄形狀,使其從該處理容器4向外突.出。 108170-1000520.doc -22· 1352380 運用此項配置,該氣體激發區段66被形成 處理谷器4之側壁向外突出,並且在向該處理容器4之内部 的另一側上為敞開。換言之,該氣體激發區段66之内部空 間係連通於該處理容器4之該處理場5。該開口 7〇具有一在 垂直方向足以涵蓋該晶圓載舟12上所有晶圓评之垂直長 度。
一對長薄形電極74被佈置在該蓋子72的對立之外表面 上並且沿縱向方向(垂直方向)互相面對面。透過饋電線 78,將該等電極74連接至一用於產生電漿之RF(射頻)電源 供應器76。一(例如)13·56 MHZ2RF電壓被施加至該等電 極74以形成一 rf電場,用於激發介於該等電極74之間的電 漿。該RF電壓之頻率非限定於13.56 mHz,並且可被設定 為另一頻率,例如,400 kHz。
該第二處理氣體的該氣體配送嘴38係往該處理容器4之 徑向方向向外彎曲,該彎曲處係在低於該晶圓載舟12上之 最底下晶圓w的位置。接著,該氣體配送嘴38垂直延伸於 該氟體激發區段66中的最深位置(離該處理容器4之中心的 最遠位置處)。也如圖2所示,該氣體配送嘴38係向外而分 離於一夾在該對電極74之間的區域(RF電場最強的位置), 即,一實際上產生主電漿的電漿產生區ps。使該含Nh3氣 體之第二處理氣體從該氣體配送嘴38的該等喷氣孔38A朝 向該電漿產生區PS喷射。接著,該電漿產生區PS*的該第 二處理氣體被激發(分解或活化),並且以此狀態供應至該 晶圓載舟12上的該等晶圓w。 I08170-1000520.doc -23· 1352380 H微換頁, 一由(例如)石英所製成的隔離保護蓋80被附接且覆蓋該 **子72的外表面上。一冷卻機件(圖中未績示)被佈置在該 隔離保護蓋8 G中且包括分別面對該等電極7 4的冷卻劑通 路將冷部劑(諸如經冷卻之氮化)供應至該等冷卻劑通 路,以冷部該等電極74 ^該隔離保護蓋8〇被一佈置在外表 面上的護罩(圓中未繪示)所覆蓋,以防止rf洩漏。 在該氣體激發區段66之該開口 7〇外面附近的一位置處, 。亥混合氣體供應電路35的該氣體配送嘴4〇被佈置成向上垂 直延伸。使該混合氣體(該第一與第三處理氣體之混合氣 體並且右有需要,還進一步混合該第四處理氣體)從該 氣體配送嘴40的該等噴氣孔4〇A朝向該處理容器4之中心喷 射。 另一方面,該排氣口68 (其被形成在該氣體激發區段66 之對面)被一排氣口蓋構件82所覆蓋。該排氣口蓋構件Μ 係由含U形橫斷面的石英所製成,並且係藉由焊接予以附 接。該排氣口蓋構件82沿該處理容器4之側壁向外延伸, 並且具有一在該處理容器4之頂部的氣體出口料。該氣體 出口 84被連接至一含真空泵等等之真空排放系統ge。 該處理容器4被一加熱器86所環繞,該加熱器%係用於 加熱該處理容器4内的空氣及該等晶圓W。一熱電偶(圖中 未繪示)被佈置在該處理容器4中的該排氣口 68附近以控 制該加熱器86。 该薄膜形成裝置2進一步包括一由(例如)電腦所形成之 主控制區段60,以控制整個裝置。該主控制區段60可按照 108170-1000520.doc • 24 - 1352380
T A 下文所述之薄膜形成處理的薄膜形成製法,就(例如)膜厚 度及所要形成之膜的組合物方面,來控制該薄膜形成處 理,其已預先儲存在記憶體212中。在該記憶體212中,介 於處理氣體流率與膜厚度和組合物之間的關係也被預先儲 存為控制資料《據此,該主控制區段6〇可依據所儲存的處 理製法及控制資料,來控制升降機件25、氣體供應電路
28、30、32、34、35和36、排放系統GE、氣體激發區段 66、加熱器86等等。 接著,將解說在圖1所示之裝置中實行之薄膜形成方法 (所謂ALD (Atomic Layer Deposition ;原子層沉積)薄膜形 成)。在此薄膜形成方法中,藉由CVD在半導體晶圓上形 成SiBN絕緣膜。為達成此目的,一第一處理氣體(其含 有二氯矽烷(DCS)氣體以作為矽烷族氣體)、一第二處理氣 體(其含有氨(NH3)氣體以作為氮化氣體)以及一第三處理氣 體(其含有BC13氣體以作為含侧氣體)被選擇性供應至容納
晶圓W的該處理場5中。此外,在該氣體混合槽42中該混 合該第-處理氣體與第三處理氣體,並且將以此方式形成 之混合氣體供應至該處理場。請注意,此薄膜形成方法係 一項未供應一第四處理氣體(其含有CA氣體(乙浠氣趙)以 作為碳氫化物氣體)之實例。 首先,將在室溫下之該晶圓載舟12 (其支撐(例如)約5〇 至1〇0個直徑為则麵之晶圓)裝載至該處理容器4 (以預 先決定溫度予以加教),拍日^ — … 該處理谷器4被氣密封閉。接 著,該處理容器4之内部被直办土 饭具二排虱且維持在預先決定處 108170-1000520.doc -25- 1352^0- 丨W譲頁丨 理氣壓’並且該晶圓溫度被增加至用於薄膜形成的處理溫 度。此時,該裝置處於等待狀態,直到溫度變成穩定。接 著’在旋轉該晶圓載舟12時,依受控制之流率,從該等氣 體配送嘴38和40分別間歇地供應該等第一至第三處理氣 體。 具體而言,該含DCS氣體之第一處理氣體及該含bc13氣 體之第三處理氣體被供應至該氣體混合槽42,以形成一混 合氣體。從該氣體配送嘴40的該等噴氣孔4〇A供應該混合 氣體’以形成平行於該晶圓載舟12上之該等晶圓w的氣 流。在供應過程中’ DCS氣體分子與BC13氣體分子以及其 分解作用所產生的分解產物的分子與原子被吸附在該等晶 圓W上。 另一方面,從該氣體配送嘴38的該等喷氣孔38A供應該 含NH3氣體之第二處理氣體,以形成平行於該晶圓載舟I] 上之該等晶圓W的氣流。當該第二處理氣體行進通過介於 該對電極74之間的該電漿產生區ps時,該第二處理氣體被 選擇性激發且部分轉變成電漿。此時,產生(例如)游離基 (活化物種),諸如N*、NH*、NH2*汲NH3* (符號「*」標 示其為游離基)。該等游離基從該氣體激發區段66的該開 口 70流出而流向該處理容器4之中心,並且係以層流 (laminar flow)狀態被供應至介於該等晶圓w之間的間隙。 該等游離基與吸附在該等晶圓W表面上的DCS氣體分子 發生反應’使得在該等晶圓W上形成一薄膜^進一步,此 時’ BCI3氣體分子之分解作用所產生的b原子被帶入該薄 108170-1000520.doc •26· 1352380 膜,所以形成一摻雜了用硼作為雜質之8丨81^膜。或者,當 DCS氣體與BCb氣體流動至吸附在該等晶圓w上的游離基 上時’引發相同的反應,所以在該等晶圓w上形成—摻雜 硼之SiBN膜》 圖3繪示根據第一具體實施例之薄膜形成方法之氣體供 應及施加RF (射頻)的時序圖。如圖3所示,根據此具體實 施例之薄膜形成方法經安排以交替重複第一步驟T1至第四 步驟T4。一包括第一步驟丁1至第四步驟T4之循環被重複 數次’藉由各自循環所形成之SiBN薄膜被層壓,藉此達成 一具有一目標厚度之SiBN膜。 具體而言,該第一步驟T1經安排以實行將該第一處理氣 體(圖3中標示為DCS)與該第三處理氣體(圖3中標示為 BC13)之該混合氣體供應至該處理場5,同時停止將該第二 處理氣體(圖3中標示為NH3)供應至該處理場5。該第二步 驟T2經安排以停止將該第一與第三處理氣體之該混合氣體 及該第二處理氣體供應至該處理場5。該第三步驟T3經安 排以實行將該第二處理氣體供應至該處理場5,同時停止 將該第一與第三處理氣體之該混合氣體供應至該處理場 5。進一步,在進行該第三步驟T3之中途,該RF電源供應 器76被設定在ON(開啟)狀態,以藉由該氣體激發區段66使 該第二處理氣體轉變成電漿,以至於在一子步驟T3b期 間’將活化狀態之該第二處理氣體供應至該處理場5。該 第四步驟T4經安排以停止將該第一與第三處理氣體之該混 合氣體及該第二處理氣體供應至該處理場5。 108170-1000520.doc -27· I3525S0 ▲i!肥換頁1 在該第二步驟T3中’在歷時-預先決定時間At之後開啟 該RF電源供應器76,以藉由該氣體激發區段66使該第二處 理氣體轉變成電漿,以至於在一子步驟T3b期間,將活化 狀態之該第二處理氣體供應至該處理場5。該預先決定時 間W被定義為用於穩定化Μ%氣體流率所需之時,其被設 定為(例如)約5秒。但是,在供應該第二處理氣體之整個時 期期間,該氣體激發區段66可能使該第二處理氣體轉變成 電漿。由於在使該第二處理氣體流率穩定化之後開啟該^^ 電源供應器以產生電漿,所以改良了該等晶圓貿之中游離 基濃度之均勻度(垂直方向均勻度)。 該第二步驟T2及該第四步驟T4各被用作一氣滌步驟’以 去除該處理容器4内的殘餘氣體❶用詞「氣滌」意指藉由 下列方式來去除該處理容器4内的殘餘氣體:真空排放該 處理容器4之内部氣體,同時供應惰性氣體(諸如,ν2氣 體)’真空排放該處理容器4之内部氣體,同時停止供應所 有氣體。就這一點而言,該第二步驟Τ2及該第四步驟14可 被安排,使得前半段步驟僅利用真空排氣,並且第二半段 步驟利用真空排氣及惰性氣體供應。進一步,該第—步驟 Τ1及該第三步驟Τ3可被安排以停止真空排放該處理容器 4’同時供應每個該第一至第三處理氣體《但是,如果供 應每個該第一至第三處理氣體係連同真空排放該處理容器 4一起予以實行,則在整個第一步驟τι至第四步驟丁4期 間’可能持續真空排放該處理容器4之内部氣體。 在圖3中,該第一步驟T1被設定為在約1至20秒範圍内, 108170-1000520.doc • 28 · 1352380
^ 氙月日修正替換I 並且舉例而言約10秒;該第二步驟T2被設定 秒範圍内,並且舉例而言約1〇秒;該第三步驟乃被設定為 在約1至30秒範圍内,並且舉例而言約2〇秒;該子步驟T3b 被設定為在约1至25秒範圍内,並且舉例而言約ι〇秒丨以 及該第四步驟T4被設定為在約5至15秒範圍内,並且舉例 而言約1〇秒。一般而言,藉由第一步驟们至第四步驟丁4之 -個循環所獲得之膜厚度係約。"至。13⑽。據此,舉例 而言,如果目標膜厚度為7〇 nm,則該循環係重複約6〇〇 次。但是,彼等時間及厚度之值僅僅是實例,並且因此不 限定於彼等值。 薄膜形成處理之處理條件如下。DCS氣體之流率被設定 為在50至2,000 sccm範圍内,例如,設定為1〇〇〇 sccm (ι slm)。NH3氣體之流率被設定為在5〇〇至5 〇〇〇. sccm範圍 内,例如,設定為1,000 sccm。BC13氣體之流率被設定為 在1至15 sccm範圍内,例如,設定為2 sccm。據此,Bci3 氣體之流率極小於DCS氣體之流率。 處理溫度低於平常處理溫度CVD處理,並且被設定為在 300至700 C範圍内,並且較佳在55〇至63(rc範圍内。如果 處理溫度低於300°C,則因為難以引起任何反應,所以未 沉積任何膜。如果處理溫度高於7〇〇t,則沉積出低品質 CVD膜,並且現有膜(諸如金屬膜)遭受到熱損壞。 處理氟壓被設定為在13 pa (οι Torr)至1,330 Pa (10 Torr)範圍内,並且較佳在 4〇 pa (〇 3 T〇rr)至 266 (2 T〇rr) 範圍内。舉例而言,在該第一步驟(吸附步驟)T丨期間,處 108170-1000520.doc -29- I3-523SQ__^ U,頁 理氣壓被設定為1 τ〇ΓΓ;以及在該第二步驟(使用電聚進行 氮化之步驟)T3期間,處理氣壓被設定為0.3 Torr。如果處 理氣壓低於13 pa,則薄膜形成變成低於實用等級。如果 處理氣壓高於1,330 Pa,則變成難以產生電漿。 如上文所述,首先,在該氣體混合槽42中均勻地混合該 含DCS氣體之第一處理氣體(用於提供一薄膜的主材料)及 該含BCh氣體之第三處理氣體(用於提供該薄膜的子材 料),以形成一混合氣體。接著,透過在垂直方向間隔地 排列的複數個噴氣孔40A,將該混合氣體間歇地供應至該 處理場5 »在此情況中,雖然Bcl3氣體量為少量,但是得 以在垂直方向實質上均勻地分佈在該處理容器4内,而不 需要使用載流氣體。據此,所要形成之SiBN薄膜中組合物 元素之比率變成更均勻,而不需顧及晶圓位置。 換言之’該第三處理氣體之供應量極小於該第一處理氣 體之供應量。在此情況中,使較少供應量之該第三處理氣 體隨附著較大供應量之該第一處理氣體,使該第三處理氣 體得以在垂直方向實質上均勻地分佈在該處理容器4内。 尤其,如果一來源氣體的供應量係另一來源氣體的供應量 的1/100或以下’則上文所述之均勻化薄膜中組合物元素 之比率的效應更具影響。 當BCI3氣體被吸附在晶圓表面上時,吸附量取決於bci3 氣體的分壓。根據此具體實施例,bci3氣體係在垂直方向 均勻地供應至該處理容器4中,而不需要使用載流氣體。 在此情況中’ BCh氣體的分壓可高於使用載流氣體之情況 108170-1000520.doc •30· 1352380 I牛月曰修j£ 1 inn g ^ ^ 一】 下的分壓,如此促進BC】3氣體在晶圓表面上的吸附作用。 據此,在維持每單位循環之薄膜形成速率時,縮短一循環 所需的時間,所以改良產量。 舉例而言,在一項實驗中,習知之薄膜形成方法在bci3 氣體被充分吸附在晶圓表面上為止費時15秒。另一方面, 本具體實施例在相同情況下僅費時2或3秒。據此,習知之
薄膜形成方法的一循環費時約30秒,而本具體實施例將此 時期縮短至僅8秒。 典型’可藉由下列兩種方法中任—方法來形成及供應該 混合氣體。第一方法包括:將該第一處理氣體與第三處理 氣體從該第一處理氣體供應電路3〇與該第三處理氣體供應 電路32連、·.貝供應至该氣體混合槽42申,同時以逐一脈衝方 式將該混合氣體從該氣體混合槽42供應至該處理場5。第 二方法包括:以一第一相位之逐一脈衝方式,將該第一處 理氣體與第三處理氣體同時從該第一處理氣體供應電路3〇 與該第三處理氣體供應電路32供應至該氣體混合槽42中, 同時以一與該第一相位相反之第二相位之逐一脈衝方式, 將該混合氣體從該氣體混合槽42供應至該處理場5。 為了具現彼4方法,按照來自該主控制區段6〇的指令, 來敞開及封閉該第一處理氣體供應電路3〇的該切換閥 50A、該第三處理氣體供應電路32的該切換閥52八及該混 合氣體供應電路35的該切換閥44A,如下所述。在該第一 方法中,在從薄膜形成處理開始至結束的複數個循環期 間,該切換閥50A及該切換閥52A係維持敞開,並且以逐 108170-1000520.doc •31 · 丨1352獨- 年j|鼸奢ϋ替換頁 釀雜 { · 一脈衝方式來敞開及封閉該切換閥44Α。在該第二方法 中,在從薄膜形成處理開始至結束的複數個循環期間以 逐一脈衝方式來敞開及封閉該切換閥5〇Α及該切換閥 52Α,同時以相反相位之逐一脈衝方式來敞開及封閉該切 換閥44Α » 在上文所述之薄膜形成方法中,未供應一第四處理氣體 (八3有(Ζ^Η4氣體(乙稀氣體)以作為碳氫化物氣體),但是 可按需要供應該第四處理氣體。在此情況中,與第一與第 三處理氣體同時,依受控制之流率來供應該第四處理氣體 至該氣體混合槽42。如果使用第一、第三和第四處理氣體 之混σ氣體’則所要形成的一薄膜係含碳之SiBCN (已摻 雜棚之氮化矽碳)絕緣膜。 <第二具體實施例> 圖4繪示根據本發明第二具體實施例之薄膜形成裝置(直 立式CVD裝置)的斷面圖.此薄膜形成裝置2χ的結構相同 於圖1所示之薄膜形成裝置2的結構,惟該第一、第三和第 四處理氣體供應電路30、32和34及該混合氣體供應電路35 除外。據此,著重於與該薄膜形成裝置2之不同處,來解 說該薄膜形成裝置2χ。 在該薄膜形成裝置2Χ中,第一、第三和第四處理氣體供 應電路30、32和34被連接至一共同混合氣體供應電路 35Χ。該混合氣體供應電路35又包括一氣體混合供應管 40Χ,該氣體混合供應管經組態用以混合該第一與第三處 理氣體,並且若有需要,還進一步混合該第四處理氣體。 108170-1000520.doc -32· 1352380 該氣體混合供應管40X係由石英管所形成,其係一氣體配 送嘴40的一最接近末端部分。
該第一、第三和第四處理氣體供應電路3〇、32和34分別 具有貯槽102、104和1〇6,其被佈置緊接在該氣體混合供 應管40X之前方,以暫時貯存相對應之處理氣體。該等貯 槽102和106必須處置大量氣體流率,所以比該貯槽ι〇4 大。舉例而言,該等貯槽102和1〇6各具有約4公升之容 積,而該貯槽104具有約〇.〇5公升之容積(皆可根據氣體流 率予以變更)。透過一分別配備切換閥l〇3A、105A和i〇7A 之氣體供應線103、1〇5和1〇7,將該等貯槽1〇2、1〇4和1〇6 連接至該氣體混合供應管4〇χ。 透過氣體供應線(氣體通路)5〇、52和54,分別將第一、 第三和第四處理氣體供應電路30、32和34的貯槽1〇2、1〇4 和106連接至DCS氣體、BC13氣體和(^札氣體的氣體來源 30S、32S和34S。該等氣體供應線5〇、52和54分別配備切 換閥50A、52A和54A及流率控制器50B、52B* 54B (諸如 質流控制器)。運用此配置,得以依受控制之流率來供應 DCS氣體、BC13氣體及c2h4氣體。 接著,將解說在圖4所示之裝置中實行之薄膜形成方法 (所謂ALD (原子屬沉積)薄膜形成)。請注意,此薄臈形成 方法也是一項未供應—第四處理氣體(其含有氣體(乙 烯氣體)以作為碳氫化物氣體)之實例。根據圖3所示之時序 圖來實灯此薄膜形成方法之氣體供應及RF (射頻)施加。此 時,為了形成及供應一混合氣體(圖3中標示為 108170-1000520.doc • 33 - 1352380 , 一 DCS+BCh),同時敞開及封閉切換閥103A和ι〇5Α,以將貯 — 槽102和104中暫時貯存的第一和第三處理氣體供應至該氣 體混合供應官40X中’並且在該氣體混合供應管4〇χ中使 第和第二處理氣體混合。從該氣體配送嘴4〇的該等喷氣 孔40A供應該混合氣體,以形成平行於該晶圓載舟12上之 該等Ba圓W的氣流。結果,此裝置可獲得相同於圖1所示 之裝置的運作及效果。 典型,可藉由下列兩種方法中任一方法來形成及供應該 混合氣體(再者,在以下解說内容中,未供應該第四處理 氣體)。第一方法包括:將該第一處理氣體與第三處理氣 體連續供應至該第一處理氣體供應電路3〇與該第三處理氣 體供應電路32各自的貯槽102和104中,同時以逐脈衝方式 將該等氣體從各自的貯槽102和1 04供應至該氣體混合供應 管40Χ。第二方法包括:以一第一相位之逐一脈衝方式, 將該第一處理氣體與第三處理氣體同時供應該第一處理氣 體供應電路30與該第三處理氣體供應電路32各自的貯槽 102和104中’同時以一與該第一相位相反之第二相位之逐 一脈衝方式,將該等氣體從各自的貯槽i 〇2和1〇4供應至該 氣體混合供應管40X。 為了實現彼等方法,按照來自該主控制區段6〇的指令, 來敞開及封閉該第一處理氣體供應電路3〇的該切換閥 5 0A、該第三處理氣體供應電路32的該切換閥52A及在該 等貯槽下游的該等切換閥1〇3A和105A,如下所述。在該 第一方法中,在從薄膜形成處理開始至結束的複數個循環 108170-1000520.doc •34· 1352380
;[m 期間’該切換閥50A及該切換閥52A係維持敞開,且以 逐一脈衝方式來敞開及封閉該等切換閥103A和105A。在 該第二方法令,在從薄膜形成處理開始至結束的複數個循 環期間’以逐一脈衝方式來敞開及封閉該切換閥50A及該 切換閥52A,同時以相反相位之逐一脈衝方式來敞開及封 閉該等切換閥1〇3 A和105 A。 圖5繪示根據第二具體實施例修改版之薄膜形成裝置中 使用之處理氣體供應系統部分的圖式(圖中未繪示該第四 處理氣體供應電路)。在此修改方案中,因為該含BC13氣 體之第三處理氣體之流率極小於該含DCS氣體之第一處理 氣體之流率’所以省略了該第三處理氣體供應電路32的該 貯槽104。甚至運用此配置,在該氣體混合供應管4〇χ内, 使較少量之該第三處理氣體與較多量之該第一處理氣體均 勻地混合’使得均勻地供應該第三處理氣體。 <第一與第二具體實施例之共同主題> 根據第一與第二具體實施例之方法都是按照一處理程式 在該主控制區段60控制下予以實行,如上文所述。圖6繪 示該主控制區段60之結構的概要方塊圖。該主控制區段6〇 包括一 CPU 210,其連接至一儲存區段212、一輸入區段 214及一輪出區段216。該儲存區段212儲存處理程式及處 理製法。該輸入區段214包括輸入裝置,諸如一鍵盤、一 指標裝置及一儲存媒體驅動器,用以與一操作者互動。該 輸出區段216輸出用於控制處理裝置之組件的控制訊號。 圖6還繪示一以可卸除狀態附接至該電腦的儲存媒體218。 108170-1000520.doc •35· 1352380_ 1藏 根據第一與第二具體實施例之方法都可作為用於在一處 理器上執行之程式指令撰寫至-電腦可讀储存媒體或一半 導體處理裝置所要應用之媒體。或者,可藉由一通信媒體 或藉以應用於-半導體處理裝置之媒體所傳輸之此類程式 指令。儲存媒體之實例包括磁碟(軟碟片、硬碟(該儲存區 & 212中所包含之硬碟的表示))、光碟片(cd、dvd等 等)、磁光碟片(MO等等)及半導體記憶體。一種用於控制 半導體處理裝置之運作的電腦讀取該儲存媒體中儲存的程 式指令’並且在處理器上執行程式指令,如上文所述。 例如,在上文所述之具體實施例中,該薄膜形成裝置2 之用於產生電漿的該激發區段66係與該處理容器4 一體地 ’’·οσ在一起《或者,該激發區段66可與該處理容器4分開 佈置’以至於在該處理容器4外部激發νη3氣體(所謂遠端 電漿)’並且接著將已激發之ΝΗ3氣體供應至該處理容器4 中。進一步’可供應未經活化之Νη3氣體,並且在此情況 中’不需要與該氣體激發區段66相關聯的構件。但是,在 此情況中’必須將處理溫度增加至用以補償因未使用電漿 而導致能量降低之程度。 例如’在上文所述之具體實施例中,具有數個喷氣孔 40Α的該配送嘴4〇被用作一用於供應該混合氣體的喷嘴。 或者’可為了相同用途來使用一類似於該喷氣嘴46的線形 或直管’或者彎曲或L形管。如果使用直管或l形管,則從 該處理場5之下方或上方來供應該混合氣體。在此情況 中’在該處理容器4之頂部或頂部形成一排氣口 68,以促 108170-1000520.doc •36· 1352380 iMitff! 使該混合氣體往垂直方向在該處理場5内充分流動。 例如,在上文所述之具體實施例中,該第一處理氣體含 有DCS氣體以作為矽烷族氣體。就這一點而言,該矽烷族 氣體可能係選自由下列項目所組成之群組中的一或多種氣 體:二氯石夕院(dichlorosilane ; DCS)、六氯二石夕烧 (hexachlorodisilane ; HCD)、單石夕烧(monosilane ; SiH4)、 二石夕烧(disilane ; Si2Cl6)、六曱基二石夕氮烧(hexamethyl-disilazane ; HMDS)、四氣石夕烧(tetrachlorosilane ; TCS)、 雙石夕烧胺基(disilylamine ; DSA)、三石夕烧胺基 (trisilylamine ; TSA)、雙第三丁胺石夕烧(bistertial-butylaminosilane ; BTBAS)。 在上文所述之具體實施例中,該第二處理氣體含有一種 氮化氣體,其可能是nh3氣體或N2氣體。如果本發明係適 用在形成以氮氧化矽為基礎之薄膜,則可使用氮氧化氣體 (諸如一氧化二氮(N20)或氮氧化物(NO))來取代氮化氣 體。在此情況中,所要形成之薄膜係以含氧(0)之氮氧化 矽為基礎之薄膜。 例如,在上文所述之具體實施例中,該第三處理氣體含 有BC13氣體以作為一種含硼氣體。就這一點而言,該含硼 氣體可能係選自由下列項目所組成之群組中的一或多種氣 體:BC13、B2H6、BF3與 B(CH3)3。 例如,在上文所述之具體實施例中,該第四處理氣體含 有乙烯氣體以作為一種碳氳化物氣體。就這一點而言,該 碳氫化物氣體可能係選自由下列項目所組成之群組中的一 108170-1000520.doc •37· 1352380 或多種氣體:乙块(acetylene)、乙烯(ethylene)、甲坑 (methane)、乙烧(ethane)、丙烧(propane)及丁烧(butane) 〇 例如,在上文所述之具體實施例中,形成一 SiBN膜或 SiBCN膜。或者’舉例而言,本發明可應用於—種用於形 成一 BCN膜(含碳氮化硼膜)之處理。在此情況中,可使用 含硼氣體及碳氫化物氣體來作為來源氣體且予以混合。本 發明可應用於各種使用複數種來源氣體的薄膜形成處理, 如果該等來源氣體係在供應至一處理容器中之前予以混 合’並不會造成任何問題。舉例而言,本發明可應用於一 種使用氧化氣體(諸如〇2氣體)作為反應氣體之薄膜形成處 理。 目標基板不限定於半導體晶圓,並且可能係其他基板, 诸如LCD基板或玻璃基板。 熟知技藝人士很容易明白各種優點及修改。因此,就本 發明的廣大態樣而言,本發明不限定於本文中呈現及說明 的特定細節及代表性具體實施例。因此,可進行各種修 改’不會脫離如申請專利範圍及其同等項所定義之本發明 一般觀念的範疇及範圍* 【圖式簡單說明】 併入並建構說明書的一部份的附圖圖解說明本發明的具 體實施例,並且附圖連同前面的一般說明與【實施方式】 係用來解說本發明原理》 圖1繪示根據本發明第一具體實施例之薄膜形成裝置(直 立式CVD裝置)的斷面圖; 108170-1000520.doc -38· 1352380
年月日修正替換A 圖2繪示圖i所示之裝置部分的斷面平面圖;. 圖3繪不根據第一具體實施例之薄膜形成方法之氣體供 應及施加RF(射頻)的時序圖; 圖4-會示根據本發明第二具體實施例之薄膜形成裝置(直 立式CVD裝置)的斷面圖; 圖5繪示根據第二具體實施例修改版之薄膜形成穿 使用之處理氣體供應系統部分的圖式;以及 、置中
圖6繪示一主控制區段之結構的概要方塊圖。 【主要元件符號說明】 2, 2X 薄膜形成裝置 4 處理容器 5 處理場 6 石英頂篷板 8 歧管 10 密封構件 12 晶圓載舟 12A 支柱 14 隔熱圓筒 16 工作台 18 蓋子 20 旋轉軸 22 磁流體密封件 24 密封構件 25 升降機件 108170-1000520.doc •39· 1352380 年月曰修毛替換^ • νλ f Q 0 _J 26 臂狀物 28 第二處理氣體供應電路 28S, 30S, 32S, 34S, 36S 氣體來源 30 第一處理氣體供應電路 32 第三處理氣體供應電路 34 第四處理氣體供應電路 35, 35X 混合氣體供應電路 36 氣條氣體供應電路 38 氣體配送嘴 38A, 40A 喷氣孔 40 氣體配送嘴 40X 氣體混合供應管 42 氣體混合槽 44 混合氣體供應線 44A 切換閥 46 喷氣嘴 48, 50, 52, 54, 56 氣體供應線(氣體通路) 48A,50A, 52A,54A,56A 切換閥 48B, 50B, 52B, 54B, 56B 流率控制器 60 主控制區段 66 氣體激發區段 68 排氣口 70 開口 72 蓋子 108170-1000520.doc -40- 1352380 too月濟替換頁
74 76 78 80 82 84 86 102, 104, 106 103, 105, 107 103A, 105A, 107A 210 212 214 216 218 GE PS W 電極 RF(射頻)電源供應器 饋電線 隔離保護蓋 排氣口蓋構件 氣體出口 加熱器 貯槽 氣體供應線 切換閥
CPU 儲存區段(記憶體) 輸入區段 輸出區段 儲存媒體 真空排放系統 電漿產生區 半導體晶圓(目標基板) 108170-1000520.doc -41 -

Claims (1)

1352380
年月日修正替換頁 狐 0-J •、申請專利範園: -種用於半導體處理之薄卿成裝置,包括: :處理容器’其具有—處理場,其經組態用以容納有 間隔地堆疊的複數個目標基板; 一支撐構件,其經組態用 標基板; 處理%内的該等目 基板; 加熱器,其經組態用以加熱該處理場内的該等目標 一排放系統,其經組態用以排放該處理場内的氣體; 一處理氣體供應系統,其經組荜用 s ^ 丹工、.且L用以將處理氣體供應 /處理場,俾在該等目標基板上沉積—薄膜,其中該 等處理氣體包括··一第一虛理夯 第處理乳體,用於提供該薄膜的 - 第二處理氣體’用於與該第一處理氣體起 反應’及-第三處理氣體,用於提供該薄膜的子材料; 一控制區段,其經組態用以控制包含該處理氣體供應 系統之該裝置, 其中該處理氣體供應系統包括: 一氣體混合槽,其被佈置在該處理容器 經組態用以混合該第一與第三處理氣體, 氣體, 之外部,並且 以形成一混合 一 /見合氣體供應線,其經植離用丨、丨故·Β A 冰丹a、L用以將該混合氣體從該 氣體混合槽供應至該處理場, 第及第一處理氣體供應電路,其經組態用以分別供 應該第一與第三處理氣體至該氣體混合槽, 108170-1000520.doc 1352380 _ 二-5』〇.. 第一處理氟體供應電路,其.具有一第二處理氣體供 應線,該第二處理氣體供應線經組態用以將該第二處理 氣體供應至該處理場,而不需要通過該氣體混合槽, 第一和第二切換閥,其分別佈置在該混合氣體供應線 和該第二處理氣體供應線上,以及 其令該控制區段控制該第一和第二切換閥成為敵開或 封閉狀態’俾交替且以逐一脈衝方式,將該混合氣體從 該氣體混合槽供應至該處理場及將該第二處理氣體從該 第二處理氣體供應電路供應至該處理場。 2. 3. 4. 5. 如凊求項1之裝置’其中該控制區段實行控制以將該第 -與第三處理氣體從該第—與第三處理氣體供應電路連 續供應至該氣體混合槽,同時以逐一脈衝方式將該混合 氣體從該氣體混合槽供應至該處理場。 如請求们之裝置’其中該控制區段實行控制以將該第 -與第三處理氣體以一第一相位之逐一脈衝方式同時從 該第-與第三處理氣體供應電路供應至該氣體混合槽, :時以-與該第-相位相反之第二相位之逐一脈衝方 式’將該混合氣體從該氣體混合槽供應至該處理場。 如請求項!之裝置,其中該混合氣體供應線和該第二處 =體供應線分別包㈣—和第二供應埠,每個供應蟑 在一垂直方向涵蓋該等目標基板方式排列的複 St氣孔,心形成平行於該等目標基板的氣流。 “項1之裝置,其中該第三處理氣體係以該第一處 理軋體之1/100或以下的量供應至該處理場。 108170-1000520.doc 1352380 年月曰修正替換頁I inn. ^ 9> (\ 6. 如請求項1之裝置,其中該第一處理氣體含有一種矽烷~· 族氣體,該第二處理氣體含有一種氮化氣艎或氮氧化氣 體’及該第三處理氣體含有一種摻雜氣體。 7. 如請求項6之裝置,其中該處理氣體供應系統進一步包 括:一第四處理氣體供應電路,其經組態用以供應一含 有一種碳氫化物氣體之第四處理氣體至該氣體混合槽。 8. 如請求項6之裝置,其中該第一處理氣體包含選自由二 氣妙烧 (dichlorosilane)、 六氣二石夕院 (hexachlorodisilane)、單碎院(monosilane)、二石夕院 (disilane)、六曱基二石夕氮烧(hexamethyldisilazane)、四 氣石夕烷(tetrachlorosilane)、二矽烷基胺(disilylamine)、 二碎烷基胺(trisilylamine)與雙第三丁胺矽烷 (bistertialbutylaminosilane)所組成之群中的至少一氣 體’該第二處理氣體包含選自由氨、氮、一氧化二氮與 氮氧化物所組成之群中的至少一氣體;以及該第三處理 氣體包含選自由BCI3、B^6、BF3與B(CH3)3所組成之群 中的至少一氣體。 9. 如请求項1之裝置,進一步包括:一激發機件,其經組 態用以藉由產生電漿來活化該第二處理氣體。 10. 如請求項9之裝置,其中該激發機件包括一電漿產生 區,忒電漿產生區被佈置在連通於該處理場且介於該第 二處理氣體之一供應蟑與該等目標基板之間的一空間 中。 11. 一種用於半導體處理之薄膜形成裝置,包括: 108170-1000520.doc :處理容器.,其具有一處理場’其經組態用以 間隔地堆疊的複數個目標基板; 一支撐構件,其經組態用以支撐該處理場 標基板; 一加熱器,其經組態用以加熱該處理場内的該 基板; ° ^ 一排放系統,其經組態用以排放該處理場内的氣體; 一處理氣體供應系統,其經組態用以將處理氣體供應 至該處理場,俾在該等目標基板上沉積一薄膜,其中該 等處理氣體包括:-第-處理氣體’用於提供該薄膜的 一主材料;一第二處理氣體,用於與該第一處理氣體起 反應;及一第三處理氣體,用於提供該薄膜的子材料, 並且該第三處理氣體係以少於該第一處理氣體之量的量 供應至該處理場; 一控制區段,其經組態用以控制包含該處理氣體供應 系統之該裝置, 其中該處理氣體供應系統包括: 一混合氣體供應線,其經組態用以混合該第一與第三 處理氣體,以形成一混合氣體,並且將該混合氣體供應 至該處理場, 第一及第三處理氣體供應電路,其分別具有第一及第 三處理氣體供應線,其經組態用以供應該第一與第三處 理氣體至該混合氣體供應線, 一第二處理氣體供應電路,其具有一第二處理氣體供 10S170-1000520.doc -4 - 1352380 線 應線’該第二處理氣體供應線經組態用以將t第二冤^ 氣體供應至該處理場,而不需要通過該混合氣體供應 第一、第二和第三切換閥,其分別佈置在該第―、第 二和第三處理氣體供應線上, 一第一貯槽’其被佈置在該第一處理氣體供應線上緊
接在该第一切換閥之前方,以暫時貯存該第一處理氣 體,及 其中該控制區段控制該第一、第二和第三切換閥成為 敞開或封閉狀態,俾交替且以逐一脈衝方式,將該混合 氣體從該氣體混合供應線供應至該處理場及將該第二處 理氣體從該第二處理氣體供應電路供應至該處理場。 12. 如請求項U之裝置,其中該控制區段控制該第一和第三 切換閥成為同時敞開或封閉狀態。 13. 如請求項丨丨之裝置,其中該處理氣體供應系統進一步包 括一第二貯槽,其被佈置在該第三氣體供應線上緊接在 該第三切換閥之前方,以暫時貯存該第三處理氣體。 14·如請求項丨丨之裝置,其中該混合氣體供應線和該第二處 理氣體供應線分別包括第一和第二供應埠,每個供應埠 各包括以在一垂直方向涵蓋該等目標基板方式排列的複 數個喷氣孔,用以形成平行於該等目標基板的氣流。 15·如請求項11之裝置,其中該第三處理氣體係以該第一處 理氣體之1/100或以下的:!:供應至該處理場。 16.如請求項11之裝置,其中該第一處理氣體含有一種矽烷 108170-1000520.doc 1352380 I ^ 族氣體’該第二處理氣體含有一種氮化氣體或氮氧化氣 體’及該第三處理氣體含有一種摻雜氣體。 17. 如請求項16之裝置’其中該處理氣體供應系統進一步包 括:一第四處理氣體供應電路,其經組態用以供應一含 有一種碳氫化物氣體之第四處理氣體至該混合氣體供應 線。 18. 如請求項16之裝置,其中該第一處理氣體包含選自由二 氯石夕烧 (dichlorosilane)、 六氯二石夕院 (hexachlorodisilane)、單梦烧(monosilane)、二石夕烧 (disilane)、六曱基二石夕氮院(hexamethyl-disilazane)、四 氯矽烷(tetrachlorosilane)、二矽烷基胺(disilylamine)、 三矽燒基胺(trisilylamine)與雙第三丁胺石夕烧 (bistertialbutylaminosilane)所組成之群中的至少一氣 體;該第二處理氣體包含選自由氨、氮、一氧化二氮與 氮氧化物所組成之群中的至少一氣體;以及該第三處理 氣體包含選自由BC13、B2H6、BF3與B(CH3)3所組成之群 中的至少一氣體。 19如β膏求項π之裝置,進一步包括:一激發機件,其經組 態用以藉由產生電漿來活化該第二處理氣體。 20. 如請求項19之裝置,其中該激發機件包括一電漿產生 區’該電漿產生區被佈置在連通於該處理場且介於該第 二處理氣體之一供應埠與該等目標基板之間的一空間 中〇 21. —種用於半導體處理之薄膜形成方法,包括: I08170-1000520.doc -6- 1352380 \日修正替換頁! 加熱在一處理容器中一處理場内有間隔地-個目標基板;以及 供應處理氣體至該處理場,以在該等目標美板、 —薄膜,其中該等處理氣體包括:一第一處:η 於提供該薄膜的一主材料;一第二處理氣體,用於與該 第-處理氣體起反應;及一第三處理氣體,用於提:: 薄膜的子材料, 〆 參 其中該供應處理氣體包括 供應該第-與第三處理氣體至—佈置在該處理容器外 的氣體混合槽’以形成一混合氣體, 將該混合氣體從該氣體混合槽供應至該處理場,及 將該第二處理氣體供應至該處理場,而不需要通過該 氣體混合槽, °χ 俾交替且以逐-脈衝方式供應該混合氣體與該第二處 理氣體至該處理場。
22· —種用於半導體處理之薄膜形成方法,包括: 加熱在-處理纟器中一處理場内有間隔地堆疊的複數 個目標基板;以及 供應處理氣體至該處理場,以在該等目標基板上沉積 -薄膜’其中該等處理氣體包括:―第—處理氣體,用 於提供該薄膜的—主材料;—第二處理氣體,用於與該 第一處理氣體起反應;及—第三處理氣體,用於提供該 薄膜的子材料,並且該第三處理氣體細少於該第-處 理氣體之量的量供應至該處理場, 108170-1000520.doc 1352380 ii〇i urH 其中該供應處理氣體包括 ,供應該第-與第三處理氣體至—混合氣體供應線,以 形成一混合氣體’並且將該混合氣體供應至該處理場, 同時將該第-處理氣體暫時貯存—第—貯财,該第_ 貯槽被佈置緊接在該混合氣體供應線之前方,及 將該第二處理氣體供應至該處理場,而不需要通過該 混合氣體供應線, 〃交替且以$脈衝方式供應該混合氣體與該第二處 理氣體至該處理場。 23.:Γ括用於在一處理器上執行之程式指令的電腦可讀 當其被該處理器執行時’促使用於一半導體處理 的薄膜形成裝置執行 加熱在一處理容器中一處理場内有間隔地堆疊的複數 個目標基板;以及 一:應處理氣體至該處理場,以在該等目標基板上沉積 一薄膜,其中該等處理氣體包括:―第—處理氣體,用 =提^薄膜的-主材料;_第二處理氣體,用於與該 薄膜的子材料, 處理乳體’用於提供該 其中該供應處理氣體包括: 供應該第-與第三處理氣體至一佈置在該處理容器外 的氣體展合槽’以形成一混合氣體, 將該混合氣體從該氣體混合槽供應至該處理場,及 將該第二處理氣體供應至該處理場,而不需要通過該 108170-1000520.doc 24. 24.
脈衝方式供應該混合氣體與該第二處 氣體混合槽, 俾交替且以逐一 理氣體至該處理場 一種包括用於在-處理器上執行之程式指令的電腦可讀 媒體’當其被該處理器執行時,促使用於—半導體處理 的薄膜形成裝置執行 加熱在一處理容器中一處理場内有間隔地堆疊的複數 個目標基板;以及 供應處理氣體至該處理場,以在該等目標基板上沉積 一薄膜,其中該等處理氣體包括:一第一處理氣體,用 於提供該薄膜的-主材料;_第二處理氣體,用於與該 第處理氣體起反應;及一第三處理氣體,用於提供該 薄膜的子材料,並且該第三處理氣體係以少於該第一處 理軋體之量的量供應至該處理場, 其中該供應處理氣體包括 供應該第一與第三處理氣體至一混合氣體供應線,以 形成一混合氣體,並且將該混合氣體供應至該處理場, 同時將該第一處理氣體暫時貯存一第一貯槽中,該第一 貯槽被佈置緊接在該混合氣體供應線之前方,及 將該第二處理氣體供應至該處理場,而不需要通過該 混合氣體供應線, 俾交替且以逐一脈衝方式供應該混合氣體與該第二處 理氣體至該處理場。 108170-1000520.doc -9-
TW095107830A 2005-03-11 2006-03-08 Film formation apparatus for semiconductor process TWI352380B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005070034 2005-03-11
JP2006004192A JP4506677B2 (ja) 2005-03-11 2006-01-11 成膜方法、成膜装置及び記憶媒体

Publications (2)

Publication Number Publication Date
TW200710952A TW200710952A (en) 2007-03-16
TWI352380B true TWI352380B (en) 2011-11-11

Family

ID=37008987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095107830A TWI352380B (en) 2005-03-11 2006-03-08 Film formation apparatus for semiconductor process

Country Status (5)

Country Link
US (2) US20060207504A1 (zh)
JP (1) JP4506677B2 (zh)
KR (1) KR100967238B1 (zh)
CN (1) CN1831192B (zh)
TW (1) TWI352380B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI593821B (zh) * 2013-02-26 2017-08-01 Tokyo Electron Ltd A method of forming a nitride film

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
JP4258518B2 (ja) * 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4464949B2 (ja) * 2006-11-10 2010-05-19 株式会社日立国際電気 基板処理装置及び選択エピタキシャル膜成長方法
JP4924437B2 (ja) * 2007-02-16 2012-04-25 東京エレクトロン株式会社 成膜方法及び成膜装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US8337950B2 (en) * 2007-06-19 2012-12-25 Applied Materials, Inc. Method for depositing boron-rich films for lithographic mask applications
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
WO2009054232A1 (ja) * 2007-10-22 2009-04-30 Nanomaterial Laboratory Co., Ltd. 半導体製造装置、半導体製造方法及び電子機器
US20090159958A1 (en) * 2007-12-20 2009-06-25 Spansion Llc Electronic device including a silicon nitride layer and a process of forming the same
JP4611414B2 (ja) * 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8563090B2 (en) * 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5730496B2 (ja) * 2009-05-01 2015-06-10 株式会社日立国際電気 熱処理装置、半導体デバイスの製造方法および基板処理方法
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
JP5462671B2 (ja) * 2010-03-15 2014-04-02 株式会社豊田中央研究所 気相成長方法
WO2011125395A1 (ja) * 2010-04-09 2011-10-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
KR101277966B1 (ko) * 2010-10-27 2013-06-27 현대제철 주식회사 일렉트로 가스 용접의 용접부 이면 냉각 장치
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5920242B2 (ja) * 2012-06-02 2016-05-18 東京エレクトロン株式会社 成膜方法及び成膜装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP6267080B2 (ja) * 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
KR102350399B1 (ko) 2015-05-08 2022-01-18 다우 글로벌 테크놀로지스 엘엘씨 핵제로서 아조디카본아미드/시트레이트 혼합물을 사용하는 폴리올레핀 조성물을 발포시키는 방법
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
GB201514542D0 (en) 2015-08-14 2015-09-30 Thomas Simon C S A method of producing graphene
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
WO2018106955A1 (en) 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
WO2018118288A1 (en) * 2016-12-22 2018-06-28 Applied Materials, Inc. Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN109234703B (zh) * 2018-11-27 2020-10-16 湖南顶立科技有限公司 一种气相沉积系统
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
WO2023175740A1 (ja) * 2022-03-15 2023-09-21 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法、プログラム及びガス供給ユニット

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3721583A (en) * 1970-12-08 1973-03-20 Ibm Vapor phase epitaxial deposition process for forming superlattice structure
JPS6262529A (ja) * 1985-09-12 1987-03-19 Toppan Printing Co Ltd 窒化シリコン膜の作成方法
JPS62156822A (ja) * 1985-12-27 1987-07-11 Nippon Telegr & Teleph Corp <Ntt> 絶縁薄膜とその形成方法及び形成装置
JPH0642474B2 (ja) * 1988-03-31 1994-06-01 株式会社東芝 半導体製造装置
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5225378A (en) * 1990-11-16 1993-07-06 Tokyo Electron Limited Method of forming a phosphorus doped silicon film
JP2912059B2 (ja) * 1991-08-27 1999-06-28 山形日本電気株式会社 常圧cvd装置
JP2833946B2 (ja) * 1992-12-08 1998-12-09 日本電気株式会社 エッチング方法および装置
JPH06314660A (ja) * 1993-03-04 1994-11-08 Mitsubishi Electric Corp 薄膜形成法及びその装置
JP3590451B2 (ja) 1995-05-30 2004-11-17 アネルバ株式会社 絶縁膜の作成方法
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
JP2000058527A (ja) * 1998-08-05 2000-02-25 Toshiba Mach Co Ltd 回転型気相成長装置及び気相成長方法
JP4819267B2 (ja) * 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
JP3403181B2 (ja) * 2001-03-30 2003-05-06 東京エレクトロン株式会社 熱処理装置及び熱処理方法
WO2003021002A1 (en) * 2001-08-29 2003-03-13 Tokyo Electron Limited Apparatus and method for plasma processing
TWI262959B (en) * 2002-01-15 2006-10-01 Tokyo Electron Ltd CVD method and apparatus for forming insulating film containing silicon
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
JP3947126B2 (ja) * 2002-04-11 2007-07-18 株式会社日立国際電気 半導体製造装置
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
JP4329403B2 (ja) * 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
CN1670244B (zh) * 2004-03-20 2010-05-05 鸿富锦精密工业(深圳)有限公司 气体配制系统及其气体配制方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI593821B (zh) * 2013-02-26 2017-08-01 Tokyo Electron Ltd A method of forming a nitride film

Also Published As

Publication number Publication date
US20080274302A1 (en) 2008-11-06
KR20060097672A (ko) 2006-09-14
CN1831192B (zh) 2011-06-29
TW200710952A (en) 2007-03-16
JP2006287195A (ja) 2006-10-19
KR100967238B1 (ko) 2010-06-30
JP4506677B2 (ja) 2010-07-21
US20060207504A1 (en) 2006-09-21
US8343594B2 (en) 2013-01-01
CN1831192A (zh) 2006-09-13

Similar Documents

Publication Publication Date Title
TWI352380B (en) Film formation apparatus for semiconductor process
TWI374481B (en) Film formation method and apparatus for semiconductor process
TWI440087B (zh) 半導體製程之薄膜形成裝置及方法、與電腦可讀取媒體
US7825039B2 (en) Vertical plasma processing method for forming silicon containing film
TWI383448B (zh) 形成含矽絕緣膜之方法及裝置
TWI552225B (zh) SiCN膜之形成方法及裝置
TWI420597B (zh) 用以形成掺雜有金屬之含矽絕緣膜的膜形成方法與膜形成設備
US8076251B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
US7964241B2 (en) Film formation method and apparatus for semiconductor process
TWI462179B (zh) 用以形成氧化矽膜之成膜方法與裝置
TWI461567B (zh) 於直立式批次薄膜形成設備中之薄膜形成方法
CN101106075B (zh) 半导体处理的成膜装置和此装置的使用方法
US7959733B2 (en) Film formation apparatus and method for semiconductor process
US8202809B2 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US9970110B2 (en) Plasma processing apparatus
TWI355029B (en) Method and apparatus for forming silicon oxide fil
US8168270B2 (en) Film formation method and apparatus for semiconductor process
US20100087069A1 (en) Method of manufacturing semiconductor device and substrate processing apparatus
KR100980126B1 (ko) 성막 방법, 성막 장치 및 기억매체

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees